文档库 最新最全的文档下载
当前位置:文档库 › EDA实训心得

EDA实训心得

EDA实训心得
EDA实训心得

实训心得

短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。

一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了VHDL语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。

最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。总的来说,这次实训我收获很大。

同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。

这次EDA实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用EDA设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序。

本文基于Verilog HDL的乒乓球游戏机设计,利用Verilog HDL 语言编写程序实现其波形数据功能在分析了CPLD技术的基础上,利用CPLD开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。

从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,

为我今后的学习和工作奠下了坚实的基础。通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、CPLD元件的应用,受益匪浅,非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。最后祝愿瓮老师身体健康,全家幸福。

通过这次课程设计,我进一步熟悉了Verilog HDL语言的结构,语言规则和语言类型。对编程软件的界面及操作有了更好的熟悉。在编程过程中,我们虽然碰到了很多困难和问题,到最后还是靠自己的努力与坚持独立的完成了任务。当遇到了自己无法解决的困难与问题的时候,要有耐心,要学会一步步的去找问题的根源,才能解决问题,还请教老师给予指导和帮助。这次实训给我最深的印象就是扩大自己的知识面,知道要培养哪些技能对我们的专业很重要。通过这次课程设计,培养了我们共同合作的能力。但是此次设计中参考了其他程序段实际思想,显示出我们在程序设计方面还有不足之处。

在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动手能力与独立思考的能力。感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导,他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。

本次设计过程中得到我们老师的悉心指导。瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨。瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅授我以文,并将积极影响我今后的学习和工作。在此诚挚地向瓮老师致谢。

顶岗实习总结500字

顶岗实习总结500字 篇一:会计实习小结500字 会计实习小结500字 1、会计实习小结 为适应**公司发展的需要,严格按照集团下发的储备人员培训计划进行了相关财务核算知识和各项管理制度的学习,努力提高自身的综合素质,以期能够早日独立顶岗工作,不辜负各级领导的期望。现就这一个月以来自身的学习、工作情况作一简要总结,以接受各级领导评议: 一、努力学习,提高自身业务能力 进一步学习并实践进行了SAPR/3系统月底结账操作,从中更好地掌握了SAP的各项相关操作,并从中认识到SAP学习的重要性。通过填报公司各类财务报表,学习并了解了公司各类财务经济指标数据,以期能对财务报表进行

深入的分析。此外,通过实践认识到自己在财务知识某些方面的欠缺,更促使自己不断地在实践过程中学习,全面提高自身素质,以期更好地工作。 二、结合公司实际,深入认识集团财务工作的重要性 通过本月成本核算工作以及对各类报表的实践,真正认识到财务管理分析制度、全面预算管理制度、绩效考核制度以及相关资产、资金管理制度在企业管理的中作用,并由此更明确了自身从事财务工作所负有的重大责任。 三、学习集团管理制度,提高自身综合能力 为尽快适应财务管理岗位的要求,在工作实践的同时,积极学习集团各类相关制度文件与规定,以求在工作中更好的履行自己的职责。 经过这一个月的学习,掌握了更多财务实践知识,在业务技能上有了较大的提高。同时,通过学习和实践,在工作态度和责任感上都有了新的认识和提

高。 2、会计实习小结 课本上学的知识都是最基本的知识,是一成不变的,跟不上时代的变化与发展。但是社会的变革与发展需要理论知识地推动。两者相辅相成。所以,我们在学校时,应该努力学习与本专业相关的各种知识,有了这些基本知识做后盾,要想适时地学习各种有关知识也是信手拈来。但是互联网信息的普及发展及应用,使我们明白了资讯的重要,我们应该关注各种信息的发布,如若不然,我们怎能应付瞬息万变的社会呢?又怎么会洞息会计的最新发展动向呢? 经过这次实习,虽然时间很短。可我学到的却是我大学两年中难以学习到的。会计本来就是烦琐的工作。在实习期间,我也曾觉得整天要对着那枯燥无味的账目和数字而心生烦闷、厌倦,以致于登账登得错漏百出。愈错愈烦,愈烦愈错。反之,只要你用心地做,反而会左右逢源。越做越觉乐趣,越做越起

西安电子科技大学EDA实验报告

EDA大作业及实验报告

实验一:QUARTUS Ⅱ软件使用及组合电路设计仿真 实验目的: 学习QUARTUS Ⅱ软件的使用,掌握软件工程的建立,VHDL源文件的设计和波形仿真等基本内容; 实验内容: 1.四选一多路选择器的设计 首先利用QuartusⅡ完成4选1多路选择器的文本编辑输入(mux41a.vhd)和仿真测试等步骤,给出仿真波形。 步骤: (1)建立工作库文件夹和编辑设计文件; (2)创建工程; (3)编译前设置; (4)全程编译; (5)时序仿真; (6)应用RTL电路图观测器(可选择) 实验程序如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT( S10:IN STD_LOGIC_VECTOR(1 DOWNTO 0); A,B,C,D:IN STD_LOGIC; Q:OUT STD_LOGIC ); END ENTITY mux41; ARCHITECTURE bhv OF mux41 IS BEGIN PROCESS(A,B,C,D,S10) BEGIN IF S10="00" THEN Q<=A; ELSIF S10="01" THEN Q<=B; ELSIF S10="10" THEN Q<=C; ELSE Q<=D; END IF; END PROCESS; END bhv; 波形仿真如图:

其中,分别设置A,B,C,D四个输入都为10.0ns的方波,其占空比分别为25%,50%,75%,90%以作为四种输入的区分,使能端s10以此输入00(即[0]),01(即[1]),10(即[2]),11(即[3]),可以观察到输出端Q依次输出分别为A,B,C,D。试验成功。 其RTL电路图为: 2.七段译码器程序设计仿真 2.1 原理:7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如实验图1数码管的7个段,高位在左,低位在右。例如当LED7S输出为"0010010" 时,数码管的7个段:g、f、e、d、c、b、a分别接0、0、1、0、0、1、0,实验中的数码管为共阳极的,接有低电平的段发亮,于是数码管显示“5”。 实验图1 数码管及其电路 2.2 实验内容:参考后面的七段译码器程序,在QUARTUS II上对以下程序进行编辑、编译、综

XX实习心得体会500字左右

XX实习心得体会500字左右 第1篇:大学生社会实践心得体会这一段时间的学习,学到了很多在学校学不到的东西,也锻炼了自己,提高了自己实际操作的能力。而今的中国发展是飞速的,世界的变化是飞速的,所以,就要求我们不断的更新我们在课本上学到的知识,不断扩展自己的知识面,不断从实践中学习,不断完善自己,武装自己,只有这样,才能在今后的竞争中不被淘汰,才能在今后的学习工作中表现的更好。 社会总是不同于学校的,学校中的那份纯真,学校中那份轻松的气氛,在社会上是不存在的,在学校中,我们所接触的人和事都是那么单纯、那么简单,在学校中,我们除了学习没有多少事情需要去做,生活的轻松而且自在,但在工作单位,就不是那么简单了,我们要做的事情是那么多,那么繁碎,然而,没有人会告诉你,你要做什么,你要怎么做,只能凭自觉去做,要做好,做的认真漂亮,要把最简单的事情以最认真的态度去做,也只有这样,我们才能得到别人不一样的评价,我们才能有一个漂亮的开头,同时,我们也会发现自己的不足,才能向别人学习更丰富的知识,才能学习别人为人处事的方法,才能高自己的能力。 在工作单位,在实际工作中,会遇到书本中没有学到的知识,可能工作中要用到的和书上学的一点联系都没有,有

时,实际工作是那么简单,而书上讲的又是那么复杂,有时,书上一两句话带过,而实际操作又那么复杂,这让人很迷惑,不过,书本上的知识是死的,在实际工作中却需要灵活运用,所以大学生的实践活动是非常重要的,必不可少的,毕竟两年后,我已经不是一名大学生,而是社会中的一分子,所以,不能纸上谈兵,要锻炼自己,要成为一名合格人才。 理论需要与实践相结合,只有理论没有实际操作,只是纸上谈兵,是不可能立足于这个社会的,所以,一定要走出校门,接触社会,真正的把理论与实践结合,真正的成为一名合格的会计人员。 通过这次的实习,我对自己的专业有了更为详尽而深刻的了解,也是对这一年大学里所学知识的巩固与运用。从这次实习中,我体会到了实际的工作与书本上的知识是有一定距离的,并且需要进一步的再学习。学习不是局限于书本,而是要对知识有一个比较全面的掌握。俗话说,千里之行始于足下,这些最基本的往往是不能在书本上彻底理解的,所以基础的掌握尤其显得重要,特别是目前的就业形势下所反映的高级技工的工作机会要远远大于大学本科生,就是因为他们的动手能力要比本科生强。从这次实习中,我体会到,如果将我们在大学里所学的知识与更多的实践结合在一起,用实践来检验真理,使一个本科生具备较强的处理基本实务的能力与比较系统的专业知识,这才是我们学习与实习的真

EDA实训心得体会

EDA实训心得体会 经过一周的EDA实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。如下是给大家的EDA实训心得体会,希望对大家有所作用。 大三时候开始了专业课的学习,其中EDA就是要学的一门专业课,课程刚开始的时候,对EDA技术很陌生,也感到很茫然,也非常没有信心,当接触到可编程器件的时候,看到大家同样感到很迷惘。首先,通过对这门课程相关理论的学习,我掌握了EDA的一些基本的的知识,现代电子产品的性能越来越高,复杂度越来越大,更新步伐也越来越快。实现这种进步的主要原因就是微电子技术和电子技术的发展。前者以微细加工技术为代表,目前已进入超深亚微米阶段,可以在几平方厘米的芯片上集成几千万个晶体管;后者的核心就是电子设计自动化EDA(Electronic Design Automatic)技术,由于本门课程是一门硬件学习课程,所以实验必不可少。通过课程最后实验,我体会一些VHDL语言相对于其他编程语言的特点。 在接触VHDL语言之前,我已经学习了C语言,汇编语言,而相对于这些语言的学习,VHDL 具有明显的特点。这不仅仅是由于VHDL 作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件 电路知识,包括目标芯片基本结构方面的知识更重要的是由于VHDL 描述的对象始终是客观的电路系统。由于电路系统内部的子系统乃至

部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。因此,任何复杂的程序在一个单CPU 的计算机中的运行,永远是单向和一维的。因而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。 在学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,感觉学到的很没用,我们现在学到的还很少,只是编写一些简单的程序。相反的,每次做完实验之后,都会感觉自己收获不少,每次都会有问题,因此,我认为在老师今后的教学当中,应当更加注重动手实验,把理论与实践很好的结合起来,才能使同学融会贯通。现在感觉到对这门课还只有很少的认识,所以希望很认真的续下去。 短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握

EDA交通灯实验报告

实验:交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图 设计要求: (1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明

(1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。 因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。 三、设计方案

实习报告500字左右

实习报告500字左右 暑期两个多月的时间,一晃而过,但就是在这短短的一个多月中,通过社会实践,让我领悟了许多东西,而这些都将使我终身受用。那么实习报告500字左右有哪些?大家不妨来看看推送的实习报告500字左右,希望给大家带来帮助! 中国的经济越和外面接轨,对于人才的要求就会越来越高,我们不只要学好学校里所学到的知识,还要不断从生活中,实践中学其他知识,不断地从各方面武装自已,才能在竞争中突出自已,表现自已。 作为一名第一次走向社会进行社会实践的大一学生,我真真切切地感受到了自己的不足之处。但是,我还有改进的时间和空间。由于缺少经验,在服装印花厂开始工作的几天,我总是会犯下一些不大不小的错误。而且,碍于放不下面子,做事总是畏手畏脚直到听到和我一同去进行实习的朋友建议我,放下面子,真正从工作中学习技术,提高自身与他人沟通交际能力,我才下定决心,定要从这次社会实践中获得经验和进步。 在印花服装厂工作,也不是事事顺利,也会碰到一些小困难。记得那天,由于天气的原因,我没有准时去到工厂,结果受到了车间主任的严厉批评。当时,还有许多工人在周围工作。他们中许多人都向我投来幸灾乐祸的关注神情,让我觉得相当难堪。我第一次对那份工作产生了抵触情绪,工作也做得马马虎虎,敷衍了事。回到家后,我认认真真地回忆了事情的前后经过。开始,还为车间主任批评我感

到忿忿不平。后来,我意识到了这可能一开始就是我的过错。如果,工厂中大部分工人都像我一样,因为各种各样的客观原因就随便迟到,这势必会严重影响到工厂的生产效率!无论从企业还是职工最终自我价值方面,这种做法都是不值得赞许的。第二天,我主动向主任道歉,并保证再不会出现因个人问题而耽误生产的现象。主任也为昨天批评口气过重向我道歉,并鼓励我好好努力,多从厂中学习有用知识。 通过这件事,我认识到了,自己不但要学习专业知识,还要学 会做人,做事,与人相处。 勇于实践,善于实践才能获得真正的知识。这是自古以来一条 亘古不变的真理。 赵括的知识无论掌握地多么娴熟,但终究在战场上败下降来, 成了纸上谈兵的“英雄”。 船王的儿子不管知识多么丰富,但还是逃脱不了命葬大海的厄运,成了船王一生中最大的遗憾。 他们都没有经过实践的洗礼就去与困难搏击。现实是不会同情 一个没有经验的人的,它只会无情地把你淘汰出局。甚至输掉的就是生命。 雏鹰不知用力地拍打过多少次翅膀,忍受过多少次跌落的痛苦,才可以和父母一样在天空翱翔。 幼虎不知经过多少次饥饿的煎熬,才学会了捕食,才渐渐有了 强壮的身躯,丰富的经验,才不愧于“林中之王”的称号。

EDA实验报告

EDA 实验报告 实验一:组合电路的设计 实验内容是对2选1多路选择器VHDL 设计,它的程序如下: ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGIN d <= a AND (NOT S) ; e <= b AND s ; y <= d OR e ; END ARCHITECTURE one ; Mux21a 仿真波形图 以上便是2选1多路选择器的VHDL 完整描述,即可以看成一个元件mux21a 。mux21a 实体是描述对应的逻辑图或者器件图,图中a 和b 分别是两个数据输入端的端口名,s 为通道选择控制信号输入端的端口名,y 为输出端的端口名。Mux21a 结构体可以看成是元件的内部电路图。最后是对仿真得出的mux21a 仿真波形图。 Mux21a 实体 Mux21a 结构体

实验二:时序电路的设计 实验内容D 触发器的VHDL 语言描述,它的程序如下: LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF1 IS BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q <= D ; END IF; END PROCESS ; END bhv; D 触发器的仿真波形图 最简单并最具代表性的时序电路是D 触发器,它是现代可编程ASIC 设计中最基本的时序元件和底层元件。D 触发器的描述包含了VHDL 对时序电路的最基本和典型的表达方式,同时也包含了VHDL 中许多最具特色的语言现象。D 触发器元件如上图所示,其在max+plus2的仿真得出上面的波形 D 触发器

EDA实训内容-实验报告

一、课程设计的内容 1、学习EDA仿真技术,并使用Multisim仿真软件完成指定训练内容。 2、学习SOPC技术,并在FPGA上完成相关内容,掌握和理解SOPC的真正内涵。 3、通过学习了解Synopsys软件,掌握IC设计基础。 二、课程设计的要求与数据 1、严格按照分组情况进行实训; 2、完成指定的设计任务; 3、相关设计数据要填入指定表格; 4、课程设计的报告严格按照学校指定格式执行; 5、实训期间不得迟到早退,否则将严肃处理。 三、课程设计应完成的工作 1、学习Multisim仿真软件,并完成以下设计任务: Lab1-4必做,Lab5-9选做任意数量。 2、学习SOPC技术,并完成以下设计任务: Task1-4选作一题,Task5必做。 3、学习IC设计技术基础,并完成以下设计任务: Synopsys IC设计基础,主要学习linux基本操作,IC设计基本流程,概念,完成Design Compiler综合工具实验。 四、课程设计进程安排

五、各实验相关内容和结果。 A、SOPC技术运用 SOPC技术运用这一实验要求完成的是task5和选做task1-4中的一个,这里选择的是task1. A1、Task5主要是学习创建Nios2系统和通过利用Nios开发板熟悉软件设计流程。在软件设计流程时,改Buttons的值为0xe后点击resume运行if语句后variables的值将会改变,如图示: 这里附上Nios2的原理图: A2、Task1主要完成对数据存储和读取电路的设计,这里数据存储和读取电路以一个双端口SRAM 为中心,用二进制计数器产生存取地址、以十进制计数器产生欲存储的数据,读出的数据经过LED 七段译码,送LED 数码管显示。具体内容是用一个4 位二进制计数器产生存取地址;以一个 4位的十进制计数器产生欲存储的数据;读出的数据自然也是一个4位的十进制数,将它送给七段数码管显示出来。这里我门主要设计4位的十进制计数器和4位的二进制计数器以及七段LED显示译码器LEDSP,这里要采用16×4bit的异步双端口SRAM模块DSRAM4而库里边的lpm. lpm_componets在这里边出了些问题,所以直接从Quartus的库里边直接找lpm_ram_dp模块。 这里附上数据存储和读取电路的原理图:

EDA实训过程及心得

实训过程及心得 短暂的三周实训已经过去了,对于我来说这三周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这三周具体的实训过程及我自己的一些心得体会。 “实践永远是检验真理的唯一标准”,只有把理论真正的付诸于具体的实践过程中才能得到自己所想要的东西。我们这次实训的主要是EDA课程,EDA全称:Electronic Design Automation( 电子设计自动化),这门课程是本学年新开的课程而且以前也从未接触过这门课目,所以,初学这门课程难免有些吃力。这几周实训是通过EDA (Quartus Ⅱ)软件上机练习实习程序,Quartus Ⅱ软件虽然以前上课接触过几次,懂得一些基本知识,但具体操作起来还是不怎么熟练,软件大多的功能还没弄明白。这次实训是编译课本上的“出租车计费程序、交通灯控制“两个程序,老师对我们给我们提出的要求是:弄明白两个源程序的内在逻辑关系、对两个程序进行时序仿真、通过各个板块画出最后两个程序的总逻辑图还有就是锁定芯片管脚。由于以前接触Quartus Ⅱ软件比较少,所以,有时候就给这次的实训带来了一些困难。比如说对实训程序进行时序仿真观察波形,如果不设置合理的仿真开始、结束时间和合理的仿真波形周期等等就不会得到想要的输入输出信号波形。还有就是在解读两个程序时遇到了很多问题,

比如说,在出租车计费的进程中的定义的a、b、aa三个变量不知道在程序里具体代表的是什么含义就无法读懂这三个变量在这个小模块所组合在一起的在整个大程序中起到的作用,进而也就看不懂整个程序。所以,要想把整个大程序完全的读懂一定要把各个小的细节都彻底的要弄明白。其次就是在最后的锁定芯片管脚的时候不确定是应该锁定哪些管脚,看课本也找不到自己要的答案,课堂笔记上记得也不全,困扰了我好长时间,最后在老师和同学的帮助下终于锁定了芯片管脚。这两个是我在实习中遇到的两个比较大的问题,其他的还遇到了很多其他的小问题。例如,输入完整个程序在对程序进行检验的时候对出现的一些小错误经常会找不到错误的所在,进而影响了下一个操作步骤。另外还有几次在建立工程项目名字和保存程序的名字的时候总是与程序中实体的名字不相符导致程序不能正常使用,与课本的理论知识相背驰。在最后结束完实训的时候自己总结了一下在实训中遇到的诸多问题,最终的原因还是课本的理论知识掌握的不扎实使我在实训的过程中出现了一些本不应该出现的错误,给自己带来了一些障碍和麻烦。至此,本次的实训也告一段落。 以上是这三周实训的具体过程和在实训训过程中遇到的一些困难,下面是我这三周实训下来的一些心得体会。 三周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是

实习生个人小结500字

实习生个人小结500字 在实习工作岗位上一定要勤于思考,不断改进工作方法,提高工作效率。今天,我为大家带来了实习生个人小结500字,希望大家喜欢! 实习生个人小结500字篇1 工作时一定要一丝不苟,认真仔细。一个职员在公司的大部分时间都是在工作的,这就要求他在这段时间内一定要小心谨慎,一丝不苟,不能老是出错。有时候一个失误不仅仅使你自己的工作进程受阻,还会影响他人,甚至受老板批评责备,因此认认真真地做每一件事情就显得尤其重要。为了不使自己前功尽弃,我们在刚开始工作的时候一定要慎之又慎,对自己的工作要十分重视,如果有必要一定要检验自己的工作结果,以确保自己的万无一失。在你对工作开始了解并熟练后,你会发现自己已能不用检验就能保证工作的质量了。而此时你也许不需要总是重复检验工作结果,但还是要对自己的工作认真对待,以免失误。 就像我在工作的时候,也犯下了不少错误,比如说领导交给我的文档资料整理任务,我在最后忘记把碎纸机关掉就匆匆跑去汇报任务了,机器一直开着影响了别人,领导批评了我,我也为自己的错误懊悔不已。还有一次我在做客户满意度回访的调查时,原以为经过前几次电话回访的经历,应付这次工作应该是小菜一碟,谁知道第一个电话我就犯了重大错误,我把客户经理的电话当作客户的号码给拨了过去,我还信誓旦旦地说:"您好,这里是中国移动定海分公司......"那人很惊讶的说它也是移动公司的,怎么客户回访她了,我也感觉很奇怪,直到那位客户经理拿着手机从隔壁办公室跑到我面前,我才发现自己犯了严重的错误。还好这次只是打错了电话,要是经常不注意自己的工作,可能会造成更严重的损失。 实习生个人小结500字篇2 时光转眼即逝。当毕业在即,回首三年学习生活历历在目。职高学习生活是我一生中最重要的阶段。三年不仅是我不断增长知识、开拓眼界的三年,更是我在思想、文化上不断认识,学会做人的三年。我也逐渐成为一名有理想、有道德、有文化、有纪律的合格职高生。 生活上,我拥有严谨认真的作风,为人朴实真诚,勤俭节约,生活独立性

基于VHDL语言的EDA实验报告(附源码)

EDA 实验报告 ——多功能电子钟 姓名:张红义 班级:10级电科五班 学号:1008101143 指导老师:贾树恒

电子钟包括:主控模块,计时模块,闹钟模块,辅控模块,显示模块,蜂鸣器模块,分频器模块。 1.主控模块: 主要功能:控制整个系统,输出现在的状态,以及按键信息。 源代码: libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_unsigned.all; entity mc is port(functionswitch,k,set,lightkey: in std_logic; chose21,setout: out std_logic; lightswitch:bufferstd_logic; modeout,kmodeout : out std_logic_vector(1 downto 0); setcs,setcm,setch,setas,setam,setah:outstd_logic); end mc; architecture work of mc is signalmode,kmode:std_logic_vector(1 downto 0); signal light,chose21buf:std_logic; signalsetcount:std_logic_vector(5 downto 0); begin process(functionswitch,k,set,lightkey) begin iffunctionswitch'event and functionswitch='1' then mode<=mode+'1'; end if; iflightkey'event and lightkey='1' then lightswitch<=not lightswitch; end if; if mode="01" thenchose21buf<='0'; else chose21buf<='1'; end if; ifk'event and k='1' then if mode="01" or mode="11" then kmode<=kmode+'1'; end if;end if; if set='1' then if mode = "01" then ifkmode="01" then setcount<="000001"; elsifkmode="10" thensetcount<="000010"; elsifkmode="11" then setcount<="000100";

eda课程设计心得体会

eda课程设计心得体会 写心得体会是困扰很多人的问题,心中有很多想法,想说却不知道怎么写下来。下面本栏目搜集了eda课程设计心得体会,欢迎查看,希望帮助到大家。 eda课程设计心得体会一这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。 通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

会计实训心得体会500字3篇

会计实训心得体会500字3篇 只有在实训中才能知道自己的不足之处在哪里,只有通过实训才能增进自己的知识,才能使自己进步,平时总以为自己学的很好,知识学的很牢固,在实训中才能知道自己原来很多知识没有学好,下面是整理的关于会计实训心得体会500字范文,欢迎阅读! 会计实训心得体会500字范文一 实训时间:20xx年x月12日-20xx年x月25日 实训地点:本班教室 实训目的:通过本次模拟实验培养我们学生实际动手能力,缩短课本知识与实际工作的距离。 经过一个多学期的学习,我们在老师的指导下进行了两个星期的会计手工实习。我们按手工记账的程序和方法进行了全方位,全仿真性的了解。 实训中,我们组织三人一组。由一个组长带领两名组员组织和指挥实验小组会计模拟实验的操作。 首先,我们根据实验资料中的经济业务摘要编制会计分录。然后设置t字账,编制科目汇总表、开设总账、登记期初余额、且根据科目汇总表登记总帐并结账,最后根据总账及其他有关资料编制资产负债表、利润表和现金流量表。 在我所在的小组中,我担任写分录、根据科目汇总表登记总账、登记记账凭证的工作。在登记记账凭证时,我自以为对单据等并不陌生,但是在实际操作时还是出错了,例如,借方科目的金额写到贷方科目去了。存根联忘写日期等等,还有在写分录的过程中,感觉都是在学习理论知识见过的,看起来比较简单,但是真正轮到自己做的时候,却是错误百出。有时看错了金额栏的位置,明明是在千位却写到了万位,导致数字错误,有时忘写会计科目的明细账,有时没注销空白的金额栏等。有时候登账时特别是三栏明细账,由于明细项目太多,金额栏紧凑一不小心就会把数字填错。但是在经过几天的熟悉过程之后,错误就慢慢的减少了,而且手工操作的速度也有提高。虽然实训这几个星期每天都生活在忙碌之中,但每天都过得很充

EDA技术及指导应用实训报告材料

电子科技大学信息科技学院《EDA技术及应用》实训报告 学号1252100301 姓名 指导教师:覃琴 2014年4 月29 日

实训题目:数字日历电路 1 系统设计 1.1 设计要求 1.1.1 设计任务 (1)用Verilog HDL语言设计出能够在EDA实训仪的I/O设备和PLD芯片实现的数字日历。 (2)数字日历能够显示年、月、日、时、分和秒。 (3)用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段显示年、月、日(如20140101),然后在另一时间段显示时、分、秒(如010101099),两个时间段能自动倒换。 (4)数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时。 (5)体现创新部分 1.1.2 性能指标要求 1)数字电路能够在一定的时间显示切换的功能,并且能手动校准年月日和时分秒 2)具有复位和进位的功能 3)能起到提示的作用,如闹钟或亮彩灯等。 1.2 设计思路及设计框图 1.2.1设计思路 如图1.2.2所示 1) EDA实训箱上的功能有限,可以用到的有8支数码管和12个lED灯。年、月、日和时、分、秒可以通过数码管显示,年月日和时分秒的切换可以通过拨动开关控制,校正可以通过按键实现。 2)输入的秒脉冲由DEA实训仪上的20MHZ晶振经过分频得到,秒脉冲经过60分频后产生1分钟脉冲信号,在经过60分频后产生1小时的脉冲信号,最后进行24分频,得到1天的脉冲送24进制的 cout输出。在将两个60分频和一个24分频的输出送到送到数码管的译码器输入端,得到24小时的计时显示结果。由此得到数字日历的计时器模块。

EDA实验总结报告

CPLD与电子CAD报告 班号: XXXXXXXXX 序号: XXXXX 学号: XXXXXXX 姓名: XXXXXXX 同组同学姓名: XXXXXXX 三峡大学电气与新能源学院 1

CPLD及电子CAD ?前言 VDHL初步理解和软件常规操作 ?第一章 VHDL中的进程、信号与变量 ?第二章并行语句、顺序语句 ?第三章循环语句、双向口 ?第四章数字钟综合设计 ?第五章Protel原理图、PCB图 ?总结学习CPLD心得和体会 前言 VDHL初步理解和软件常规操作 一,VHDL的历史 1982年,诞生于美国国防部赞助的vhsic项目 1987年底,vhdl被IEEE和美国国防部确认为标准硬件描述语言,即IEEE-1076(简称87版) 1993年,IEEE对VHDL进行了修订,公布了新版本的VHDL,即IEEE标准的1076-1993(1164)版本 1996年,IEEE-1076.3成为VHDL综合标准 二,VHDL软件Max+plusⅡ的常规操纵方法 1)新建文件后,输入项目文件名(File-Project-Name)(此时save as名称如果是程序则需要用vhd结尾保存文件) 2)输入源文件(图形、VHDL、波形输入方式) (Max+plusⅡ-graphic Editor;Max+plusⅡ-Text Editor;Max+plusⅡ-Waveform Editor) 3)指定CPLD型号,选择器件(Assign-Device)(应选择EP1K 30TC144-1)4)设置管脚、下载方式和逻辑综合的方式 (Assign-Global Project Device Option,Assign-Global Logic Synthesis) 5)保存并检查源文件(File-project-Save & Check) 6)指定管脚(Max+plusⅡ-Floorplan Editor)(具体的管脚应该参考 2

个人实习总结500字

个人实习总结500字 个人实习总结一: xx年12月,我在xx丰田汽车销售服务有限公司进行维修实习活动。在这一个月的时间里,我对汽车维修服务站的整车销售、零部件供应、售后服务、维修以及信息反馈等有了一定的了解和深刻体会。xx丰田汽车销售服务有限公司是一个拥有丰田定点、配套、直接提供的一流维修设备:举升机、轮胎动平衡机、车身校正架、烤漆房等,还拥有先进的进口检测仪器:电脑检测仪、点火测试仪等,及使用于维修业务的计算机网络。宽敞、整洁的业务接待大厅和服务周到的客户休息室为客户提供舒适的环境,宽敞的维修车间,设置24个标准工位充分满足维修作业的需要。规格齐全,优质纯正的配件是上海大众系列车辆运行的安全保证。xx丰田汽车销售服务有限公司是一个大型的四s店。主要销售;威驰、花冠、锐志、皇冠、普拉多、巡洋舰、柯斯达等国内畅销车型。 虽然我们在这家公司实习的时间很短,但是我们在这家公司去学到了很多我们想学习到的知识,使我们对汽车行业有了更进一步的了解,我知道自己在学校学到的东西很少,还有很多是我们不知道的。在实习期间我们认真和师傅们学习汽车方面的知识,积极动手,培养了我们吃苦耐劳的精神,认真了解是车身的构造。完成了汽车拆装的目的,达到了我们实习的要求,我们在企业里,了解到很多企业文化和企业管理体制。使我们不紧在自己的专业

有了突破,也在学习到许多关于企业管理方面的知识。总体来说我成功的完成了这次实习,为我以后的工作道路上起着很重要的作用。 个人实习总结二: 工作以来,在单位领导的精心培育和教导下,通过自身的不断努力,无论是思想上、学习上还是工作上,都取得了长足的发展和巨大的收获,现对自己做如下鉴定结如下: 思想上,积极参加政治学习,坚持四项基本原则,拥护党的各项方针政策,自觉遵守各项法规。 工作计划上,本人自xxxx年工作以来,先后在某某部门、某某科室、会计科等科室工作过,不管走到哪里,都严格要求自己,刻苦钻研业务,争当行家里手。就是凭着这样一种坚定的信念,我已熟练掌握储蓄、会计、计划、信用卡、个贷等业务,成为xx行业务的行家里手。 记得,刚进xx行,为了尽快掌握xx行业务,我每天都提前一个多小时到岗,练习点钞、打算盘、储蓄业务,虽然那时住处离工作单位要坐车1个多小时,但我每天都风雨无阻,特别是冬天,冰天雪地,怕挤不上车,我常常要提前两、三个小时上班,就是那时起我养成了早到单位的习惯,现在每天都是第一个到行里,先打扫卫生,再看看业务书或准备准备一天的工作,也是这个习惯,给了我充足的时间学习到更多的业务知识,为我几年来工作的顺利开展打下了良好的基础。

郑州航院EDA实验报告模板

《EDA技术及应用》 实验报告 系部:电子通信工程系 指导教师:张松炜 学号:121307214 ____ 姓名:李俊杰_______

2014___年_6___月__19_日 实验一点亮LED设计 一、实验目的 通过此试验可以让大家逐步了解,熟悉和掌握FPGA开发软件Quartus II的使用方法及VerilogHDL的编程方法。 二、实验内容 本实验是一个简单的点亮LED灯的实验,具体包括: 1)使用Quartus II建立一个工程; 2)Quartus II工程设计; 3)设置编译选项并编译硬件系统; 4)下载硬件设计到目标FPGA; 5)观察LED的状态; 三、实验原理 和单片机一样,向片子里写进数据,输出高电平(对于共阴极的),或者输出低电平(对于共阳极)。根据Cyclone片子已经分配好的针脚设置好针脚。 四、实验步骤 建立-----个工程-----输入程序-----软件编译 ------生成下载文件-----下载—调试。

五、实验程序 module led1(led); //模块名led1 output[7:0] led; //定义输出端口 reg[7:0] led; //定义寄存器 always //过程1 begin led = 8'b10101010; //输出0xAA end endmodule 六、思考题 (1)写出使用QuartusII软件开发工程的完整的流程。 建立一个工程—输入程序—软件编译综合—生成下载文件— 下载—硬件调。 实验二流水灯实验 一、实验目的 通过此试验让大家进一步了解熟悉和掌握FPGA开发软件的使用方法及软件编程方法。学习简单的时序电路的设计和硬件测试。 二、实验内容 本实验的内容是建立用于控制LED流水灯的简单硬件电路,要求在SmartSOPC上实现LED1-LED8发光二极管流水灯的显示。 三、实验原理

实训心得体会_1

实训心得体会 一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,比如说实体、结构体的作用、保存的时候不能还有中文及文件名要和实体名相同等。加深了对VHDL 编程语言的理解,尤其是本课题中乘法器的设计让我更清楚进程、变量、信号的使用及它们之间的区别。意识到了EDA 课程设计的重要性以及团队合作对于设计的重要性,更重要的是掌握了VHDL语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。 在此次实训的过程中,我了解到了要加强培养动手能力,要明白理论与实践结合的重要性,只有理论知识也是不够的,只有把理论知识和实践相结合,才能真正提高我们的实际动手能力与独立思考的能力。感谢学院给我们提供这次实训的机会,感谢瓮老师对我们的指导,他是为了教会我们如何运用所学的知识去解决实际的问题,此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为

学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。 短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。 电 气 实 训 心 得 院系:物电院 班级:自动化 姓名:高怀伟 学号:0803110127 电气实训心得 在通过为期8天的电气实训的学习中,我对电气元件及电工技术有一定的感性和理性认识,对电工技术等方面的专业知识做进一步的理解。同时,通过实习得实际生产知识和安装技能,掌握接触器、继电器等控制线路及其元件的工作

EDA实训报告范文

EDA实训报告范文 一实习目的 1.了解proptel软件基本功能及实际操作方法 2.培养实际电路图绘制和动手操作综合能力 3.能够动手绘制原理电路图并对PCB板合理布局 二实习任务 1.绘制给定电路原理图 2.生成原理图的元件列表 3.建立网络表文件和ERC电气检测 4.自动装载生成原理图的单面板(或双面板)PCB图 5.生成电路板信息报表 三protel99SE软件部分信息 略 四实习操作步骤 4.1.建立一个数据库文件 第一步:打开protel99se后,选择file菜单下的new菜单 第二步:选择新建的项目存放方式为DDB以及文件存放目录第三步:新建好DDB文件后,我们就可里边的Documents目录下第五步:可以新建SCH文件了,也就是电路图设计项目 第六步:新建后SCH项目后,在默认的一个protel99se元件库中,可以选择元件 放到电路图中了

第七步:我们也可以选择增加自己的元件库 4.2使用protel99se原理图绘制 第一步:将元件放进SCH原理图中,并且设计元件的属性 第二步:设计元件的属性,包括封装,名称,元件属性等 第三步:在protel99se中设计中,放入网络标号.在同一原理中,所有相同的网 络标号,在图纸中,表示同一网络结点 第四步:设点电源地 第五步:在protel99se中,放好元件,设计是电源和接地后,就可以画线了 4.3如何新建PCB文件以及载入封装图. 第一步:在Documents目录下,新建一个PCB文件,PCB文件即是我们存放PCB电路的文件 第二步:在导航栏中,选择Libraries这一项,这可以让我们在导航栏中,显示当前可以放的封装库,以供选择 第三步:浏览封库以及增加protel99se封装库 第四步:选择封装库并且增加到当前PCB文件中: 第五步:增加好封装库后,我们就要以选择和使用些元件了 第六步:在protel99se绘制PCB图是,有一个单位的选择,可以使用公制以及英制 4.4如何将SCH转为PCB文件

相关文档