文档库 最新最全的文档下载
当前位置:文档库 › 半导体英文词汇

半导体英文词汇

半导体英文词汇
半导体英文词汇

1 Active Area 主动区(工作区)主动晶体管(ACTIVE TRANSISTOR)被制造的区域即所谓的主动区(ACTIVE AREA)。在标准之MOS制造过程中ACTIVE AREA是由一层氮化硅光罩即等接氮化硅蚀刻之后的局部场区氧化所形成的,而由于利用到局部场氧化之步骤,所以ACTIVE AREA会受到鸟嘴(BIRD’S BEAK)之影响而比原先之氮化硅光罩所定义的区域来的小,以长0.6UM之场区氧化而言,大概会有0.5UM之BIRD’S BEAK存在,也就是说ACTIVE AREA比原在之氮化硅光罩所定义的区域小0.5UM。

2 ACTONE 丙酮 1. 丙酮是有机溶剂的一种,分子式为CH3COCH3。2. 性质为无色,具刺激性及薄荷臭味之液体。3. 在FAB内之用途,主要在于黄光室内正光阻之清洗、擦拭。

4. 对神经中枢具中度麻醉性,对皮肤黏膜具轻微毒性,长期接触会引起皮肤炎,吸入过量之丙酮蒸汽会刺激鼻、眼结膜及咽喉黏膜,甚至引起头痛、恶心、呕吐、目眩、意识不明等。

5. 允许浓度1000PPM。

3 ADI 显影后检查1.定义:After Developing Inspection 之缩写2.目的:检查黄光室制程;光阻覆盖→对准→曝光→显影。发现缺点后,如覆盖不良、显影不良…等即予修改,以维护产品良率、品质。3.方法:利用目检、显微镜为之。

4 AEI 蚀刻后检查 1. 定义:AEI即After Etching Inspection,在蚀刻制程光阻去除前及光阻去除后,分别对产品实施全检或抽样检查。2.目的:2-1提高产品良率,避免不良品外流。2-2达到品质的一致性和制程之重复性。2-3显示制程能力之指针2-4阻止异常扩大,节省成本3.通常AEI检查出来之不良品,非必要时很少作修改,因为重去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加,生产成本增高,以及良率降低之缺点。

5 AIR SHOWER 空气洗尘室进入洁净室之前,需穿无尘衣,因在外面更衣室之故,无尘衣上沾着尘埃,故进洁净室之前,需经空气喷洗机将尘埃吹掉。

6 ALIGNMENT 对准 1. 定义:利用芯片上的对准键,一般用十字键和光罩上的对准键合对为之。2. 目的:在IC的制造过程中,必须经过6~10次左右的对准、曝光来定义电路图案,对准就是要将层层图案精确地定义显像在芯片上面。3. 方法:A.人眼对准B.用光、电组合代替人眼,即机械式对准。

7 ALLOY/SINTER 熔合Alloy之目的在使铝与硅基(Silicon Substrate)之接触有Ohmic 特性,即电压与电流成线性关系。Alloy也可降低接触的阻值。

8 AL/SI 铝/硅靶此为金属溅镀时所使用的一种金属合金材料利用Ar游离的离子,让其撞击此靶的表面,把Al/Si的原子撞击出来,而镀在芯片表面上,一般使用之组成为Al/Si (1%),将此当作组件与外界导线连接。

9 AL/SI/CU 铝/硅/铜金属溅镀时所使用的原料名称,通常是称为TARGET,其成分为0.5﹪铜,1﹪硅及98.5﹪铝,一般制程通常是使用99﹪铝1﹪硅,后来为了金属电荷迁移现象(ELEC TROMIGRA TION)故渗加0.5﹪铜,以降低金属电荷迁移。

10 ALUMINUN 铝此为金属溅镀时所使用的一种金属材料,利用Ar游离的离子,让其撞击此种材料做成的靶表面,把Al的原子撞击出来,而镀在芯片表面上,将此当作组件与外界导线之连接。

11 ANGLE LAPPING 角度研磨Angle Lapping 的目的是为了测量Junction的深度,所作的芯片前处理,这种采用光线干涉测量的方法就称之Angle Lapping。公式为Xj=λ/2 NF 即Junction深度等于入射光波长的一半与干涉条纹数之乘积。但渐渐的随着VLSI组件的缩小,准确度及精密度都无法因应。如SRP(Spreading Resistance Prqbing)也是应用Angle Lapping的方法作前处理,采用的方法是以表面植入浓度与阻值的对应关系求出Junction的深度,精确度远超过入射光干涉法。

12 ANGSTRON 埃是一个长度单位,其大小为1公尺的百亿分之一,约为人的头发宽度之五十万分之一。此单位常用于IC制程上,表示其层(如SiO2,Poly,SiN….)厚度时

用。

13 APCVD(A TMOSPRESSURE)常压化学气相沉积APCVD为Atmosphere(大气),Pressure(压力),Chemical(化学),V apor(气相)及Deposition(沉积)的缩写,也就是说,反应气体(如SiH4(g),B2H6(g),和O2(g))在常压下起化学反应而生成一层固态的生成物(如BPSG)于芯片上。

14 AS75 砷自然界元素之一;由33个质子,42个中子即75个电子所组成。半导体工业用的砷离子(As+)可由AsH3气体分解得到。砷是N-TYPE DOPANT 常用作N-场区、空乏区及S/D植入。

15 ASHING,STRIPPING 电浆光阻去除1. 电浆预处理,系利用电浆方式(Plasma),将芯片表面之光阻加以去除。2. 电浆光阻去除的原理,系利用氧气在电浆中所产生只自由基(Radical)与光阻(高分子的有机物)发生作用,产生挥发性的气体,再由帮浦抽走,达到光阻去除的目的。3. 电浆光组的产生速率通常较酸液光阻去除为慢,但是若产品经过离子植入或电浆蚀刻后,表面之光阻或发生碳化或石墨化等化学作用,整个表面之光阻均已变质,若以硫酸吃光阻,无法将表面已变质之光阻加以去除,故均必须先以电浆光阻去除之方式来做。

16 ASSEMBLY晶粒封装以树酯或陶瓷材料,将晶粒包在其中,以达到保护晶粒,隔绝环境污染的目的,而此一连串的加工过程,即称为晶粒封装(Assembly)。封装的材料不同,其封装的作法亦不同,本公司几乎都是以树酯材料作晶粒的封装,制程包括:芯片切割→晶粒目检→晶粒上「架」(导线架,即Lead frame)→焊线→模压封装→稳定烘烤(使树酯物性稳定)→切框、弯脚成型→脚沾锡→盖印→完成。以树酯为材料之IC,通常用于消费性产品,如计算机、计算器,而以陶瓷作封装材料之IC,属于高性赖度之组件,通常用于飞弹、火箭等较精密的产品上。

17 BACK GRINDING 晶背研磨利用研磨机将芯片背面磨薄以便测试包装,着重的是厚度均匀度及背面之干净度。一般6吋芯片之厚度约20mil~30 mil左右,为了便于晶粒封装打线,故需将芯片厚度磨薄至10 mil ~15mil左右。

18 BAKE, SOFT BAKE,HARD BAKE 烘烤,软烤,预烤烘烤(Bake):在集成电路芯片上的制造过程中,将芯片至于稍高温(60℃~250℃)的烘箱内或热板上均可谓之烘烤,随其目的的不同,可区分微软烤(Soft bake)与预烤(Hard bake)。软烤(Soft bake):其使用时机是在上完光阻后,主要目的是为了将光阻中的溶剂蒸发去除,并且可增加光阻与芯片之附着力。预烤(Hard bake):又称为蚀刻前烘烤(pre-etch bake),主要目的为去除水气,增加光阻附着性,尤其在湿蚀刻(wet etching)更为重要,预烤不全长会造成过蚀刻。

19 BF2 二氟化硼·一种供做离子植入用之离子。·BF2 +是由BF3 +气体晶灯丝加热分解成:B10、B11、F19、B10F2、B11F2 。经Extract拉出及质谱磁场分析后而得到。·是一种P-type 离子,通常用作VT植入(闸层)及S/D植入。

20 BOA T 晶舟Boat原意是单木舟,在半导体IC制造过程中,常需要用一种工具作芯片传送、清洗及加工,这种承载芯片的工具,我们称之为Boat。一般Boat有两种材质,一是石英、另一是铁氟龙。石英Boat用在温度较高(大于300℃)的场合。而铁氟龙Boat则用在传送或酸处理的场合。

21 B.O.E 缓冲蚀刻液BOE是HF与NH4F依不同比例混合而成。6:1 BOE蚀刻即表示HF:NH4F=1:6的成分混合而成。HF为主要的蚀刻液,NH4F则作为缓冲剂使用。利用NH4F固定〔H+〕的浓度,使之保持一定的蚀刻率。HF会浸蚀玻璃及任何含硅石的物质,对皮肤有强烈的腐蚀性,不小心被溅到,应用大量水冲洗。

22 BONDING PAD 焊垫焊垫-晶利用以连接金线或铝线的金属层。在晶粒封装(Assembly)的制程中,有一个步骤是作“焊线”,即是用金线(塑料包装体)或铝线(陶

瓷包装体)将晶粒的线路与包装体之各个接脚依焊线图(Bonding Diagram)连接在一起,如此一来,晶粒的功能才能有效地应用。由于晶粒上的金属线路的宽度即间隙都非常窄小,(目前SIMC所致的产品约是微米左右的线宽或间隙),而用来连接用的金线或铝线其线径目前由于受到材料的延展性即对金属接线强度要求的限制,祇能做到1.0~1.3mil(25.4~33j 微米)左右,在此情况下,要把二、三十微米的金属线直接连接到金属线路间距只有3微米的晶粒上,一定会造成多条铝线的接桥,故晶粒上的铝路,在其末端皆设计成一个约4mil 见方的金属层,此即为焊垫,以作为接线使用。焊垫通常分布再晶粒之四个外围上(以粒封装时的焊线作业),其形状多为正方形,亦有人将第一焊线点作成圆形,以资辨识。焊垫因为要作接线,其上得护层必须蚀刻掉,故可在焊垫上清楚地看到“开窗线”。而晶粒上有时亦可看到大块的金属层,位于晶粒内部而非四周,其上也看不到开窗线,是为电容。

23 BORON 硼自然元素之一。由五个质子及六个中子所组成。所以原子量是11。另外有同位素,是由五个质子及五个中子所组成原子量是10(B10)。自然界中这两种同位素之比例是4:1,可由磁场质谱分析中看出,是一种P-type的离子(B 11+),用来作场区、井区、VT及S/D植入。

24 BPSG 含硼及磷的硅化物BPSG乃介于Poly之上、Metal之下,可做为上下两层绝缘之用,加硼、磷主要目的在使回流后的Step较平缓,以防止Metal line溅镀上去后,造成断线。

25 BREAKDOWN VOLTAGE 崩溃电压反向P-N接面组件所加之电压为P接负而N接正,如为此种接法则当所加电压通在某个特定值以下时反向电流很小,而当所加电压值大于此特定值后,反向电流会急遽增加,此特定值也就是吾人所谓的崩溃电压(BREAKDOWN VOLTAGE)一般吾人所定义反向P+- N接面之反向电流为1UA时之电压为崩溃电压,在P+- N或N+-P之接回组件中崩溃电压,随着N(或者P)之浓度之增加而减小。

26 BURN IN 预烧试验「预烧」(Burn in)为可靠性测试的一种,旨在检验出哪些在使用初期即损坏的产品,而在出货前予以剔除。预烧试验的作法,乃是将组件(产品)至于高温的环境下,加上指定的正向或反向的直流电压,如此残留在晶粒上氧化层与金属层之外来杂质离子或腐蚀性离子将容易游离而使故障模式(Failure Mode)提早显现出来,达到筛选、剔除「早期夭折」产品之目的。预烧试验分为「静态预烧」(Static Burn in)与「动态预烧」(Dynamic Burn in)两种,前者在试验时,只在组件上加上额定的工作电压即消耗额定的功率,而后者除此外并有仿真实际工作情况的讯号输入,故较接近实际状况,也较严格。基本上,每一批产品在出货前,皆须作百分之百的预烧试验,馾由于成本及交货其等因素,有些产品旧祇作抽样(部分)的预烧试验,通过后才出货。另外对于一些我们认为它品质够稳定且够水准的产品,亦可以抽样的方式进行,当然,具有高信赖度的产品,皆须通过百分之百的预烧试验。

27 CAD 计算机辅助设计CAD:Computer Aided Design计算机辅助设计,此名词所包含的范围很广,可泛称一切计算机为工具,所进行之设计;因此不仅在IC设计上用得到,建筑上之设计,飞机、船体之设计,都可能用到。在以往计算机尚未广泛应用时,设计者必须以有限之记忆、经验来进行设计,可是有了所谓CAD后,我们把一些常用之规则、经验存入计算机后,后面的设计者,变可节省不少从头摸索的工作,如此不仅大幅地提高了设计的准确度,使设计的领域进入另一新天地。

28 CD MEASUREMENT 微距测试CD: Critical Dimension之简称。通常于某一个层次中,为了控制其最小线距,我们会制作一些代表性之量测图形于晶方中,通常置于晶方之边缘。简言之,微距测量长当作一个重要之制程指针,可代表黄光制程之控制好坏。量测CD 之层次通常是对线距控制较重要之层次,如氮化硅、POLY、CONT、MET…等,而目前较常用于测量之图形有品字型,L-BAR等。

29 CH3COOH 醋酸ACETIC ACID 醋酸澄清、无色液体、有刺激性气味、熔点16.63℃、沸点118℃。与水、酒精、乙醚互溶。可燃。冰醋酸是99.8﹪以上之纯化物,有别于水容易的醋酸食入或吸入纯醋酸有中等的毒性,对皮肤及组织有刺激性,危害性不大,被溅到用水冲洗。

30 CHAMBER 真空室,反应室专指一密闭的空间,常有特殊的用途:诸如抽真空、气体反应或金属溅度等。针对此特殊空间之种种外在或内在环境:例如外在粒子数(particle)、湿度及内在温度、压力、气体流量、粒子数等加以控制。达到芯片最佳反应条件。

31 CHANNEL 信道当在MOS晶体管的闸极上加上电压(PMOS为负,NMOS为正),则闸极下的电子或电洞会被其电场所吸引或排斥而使闸极下之区域形成一反转层(Inversion Layer),也就是其下之半导体P-type变成N-type Si,N-type变成P-type Si,而与源极和汲极,我们旧称此反转层为“信道”。信道的长度“Channel Length”对MOS组件的参数有着极重要的影响,故我们对POLY CD的控制需要非常谨慎。

32 CHIP ,DIE 晶粒一片芯片(OR晶圆,即Wafer)上有许多相同的方形小单位,这些小单位及称为晶粒。同一芯片上每个晶粒都是相同的构造,具有相同的功能,每个晶粒经包装后,可制成一颗颗我们日常生活中常见的IC,故每一芯片所能制造出的IC数量是很可观的,从几百个到几千个不等。同样地,如果因制造的疏忽而产生的缺点,往往就会波及成百成千个产品。

33 CLT(CARRIER LIFE TIME)截子生命周期一、定义少数戴子再温度平均时电子被束缚在原子格内,当外加能量时,电子获得能量,脱离原子格束缚,形成自由状态而参与电流岛通的的工作,但能量消失后,这些电子/电洞将因在结合因素回复至平衡状态,因子当这些载子由被激发后回复平衡期间,称之为少数载子“LIFE TIME“二、应用范围1.评估卢管和清洗槽的干净度2.针对芯片之清洁度及损伤程度对CLT值有影响为A.芯片中离子污染浓度及污染之金属种类B.芯片中结晶缺陷浓度

34 CMOS 互补式金氧半导体金属氧化膜半导体(MOS,METAL-OXIDE SEMICONDUCTOR)其制程程序及先在单晶硅上形成绝缘氧化膜,再沉积一层复晶硅(或金属)作为闸极,利用家到闸极的电场来控制MOS组件的开关(导电或不导电)。按照导电载子的种类,MOS,又可分成两种类型:NMOS(由电子导电)和PMOS(由电洞导电)。而互补式金氧半导体(CMOSCOMPLEMENTARY MOS)则是由NMOS及PMOS组合而成,具有省电、抗噪声能力强、α-PARTICLE免疫力好等许多优点,是超大规模集成电路(VLSI)的主流。

35 COA TING 光阻覆盖将光阻剂以浸泡、喷雾、刷怖、或滚压等方法加于芯片上,称为光阻覆盖。目前效果最佳的方法为旋转法;旋转法乃是将芯片以真空吸附于一个可旋转的芯片支持器上,适量的光阻剂加在芯片中央,然后芯片开始转动,芯片上的光阻剂向外流开,很均匀的散在芯片上。要得到均匀的光阻膜,旋转速度必须适中稳定。而旋转速度和光阻剂黏滞性绝应所镀光阻剂的厚度。光阻剂加上后,必须经过软烤的步骤,以除去光阻剂中过多的溶剂,进而使光阻膜较为坚硬,同时增加光阻膜与芯片的接合能力的主要方法就是在于适当调整软烤温度与时间。经过了以上的镀光阻膜即软烤过程,也就是完成了整个光阻覆盖的步骤。

36 CROSS SECTION 横截面IC的制造基本上是由一层一层的图案堆积上去,而为了了解堆积图案的构造,以改善制程或解决制程问题,经常会利用破坏性切割方式以电子显微镜(SEM)来观察,而切割横截面、观察横截面的方式是其中较为普遍之一种。

37 C-V PLOT 电容,电压圆译意为电容、电压图:也就是说当组件在不同状况下,在闸极上施以某一电压时,会产生不同之电容值(此电压可为正或负),如此组件为理想的组件;也就是闸极和汲极间几乎没有杂质在里面(COMTAMINA TION)。当外界环境改变时(温度

或压力),并不太会影响它的电容值,利用此可MONITOR MOS 组件之好坏,一般△V<0.2为正常。

38 CWQC 全公司品质管制以往有些经营者或老板,一直都认为品质管制是品管部门或品管主管的责任,遇到品质管制做不好时,即立即指责品质主管,这是不对的。品质管制不是品质部门或某一单位就可以做好的,而是全公司每一部门全体人员都参与才能做好。固品质管制为达到经营的目的,必须结合公司内所有部门全体人员协力合作,构成一个能共同认识,亦于实施的体系,并使工作标准化,且使所定的各种事项确实实行,使自市场调查、研究、开发、设计、采购、制造、检查、试验、出货、销售、服务为止的每一阶段的品质都能有效的管理,这就是所谓的全公司品质管制(Company Wide Quality Control)。实施CWQC 的目的最主要的就是要改善企业体质;即发觉问题的体质、重视计划的体质、重点指向的体质、重视过程的体质,以及全员有体系导向的体质。

39 CYCLE TIME 生产周期时间指原料由投入生产线到产品于生产线产生所需之生产/制造时间。在TI-ACER,生产周期有两种解释:一为“芯片产出周期时间”(WAFER-OUT CYCLE TIME),一为“制程周期时间”(PROCESS CYCLE TIME)“芯片产出周期时间”乃指单一批号之芯片由投入到产出所需之生产/制造时间。“制程周期时间”则指所有芯片于单一工站平均生产/制造时间,而各工站(从头至尾)平均生产/制造之加总极为该制程之制程周期时间。目前TI-ACER LINE REPORT 之生产周期时间乃采用“制程周期时间”。一般而言,生产周期时间可以下列公式概略推算之:生产周期时间=在制品(WIP)/产能(THROUGHOUT)

40 CYCLE TIME 生产周期IC制造流程复杂,且其程序很长,自芯片投入至晶圆测试完成,谓之Cycle Time。由于IC生命周期很短,自开发、生产至销售,需要迅速且能掌握时效,故Cycle Time越短,竞争能力就越高,能掌握产品上市契机,就能获取最大的利润。由于Cycle Time 长,不容许生产中的芯片因故报废或重做,故各项操作过程都要依照规范进行,且要做好故障排除让产品流程顺利,早日出FIB上市销售。

41 DEFECT DENSITY缺点密度〝缺点密度〞系指芯片单位面积上(如每平方公分、每平方英吋等)有多少〝缺点数〞之意,此缺点数一般可分为两大类:A.可视性缺点 B.不可视性缺点。前者可藉由一般光学显微镜检查出来(如桥接、断线),由于芯片制造过程甚为复杂漫长,芯片上缺点数越少,产品量率品质必然越佳,故〝缺点密度〞常备用来当作一个工厂制造的产品品质好坏的指针。

42 DEHYDRA TION BAKE 去水烘烤目的:去除芯片表面水分,增加光阻附着力。以免芯片表面曝光显影后光阻掀起。方法:在光阻覆盖之前,利用高温(120℃或150℃)加热方式为之。

43 DENSIFY密化CVD沉积后,由于所沈积之薄膜(THIN FILM之密度很低),故以高温步骤使薄膜中之分子重新结合,以提高其密度,此种高温步骤即称为密化。密化通常以炉管在800℃以上的温度完成,但也可在快速升降温机台(RTP;RAPID THERMAL PROCESS)完成。

44 DESCUM 电浆预处理 1.电浆预处理,系利用电浆方式(Plasma),将芯片表面之光阻加以去除,但其去光阻的时间,较一般电浆光阻去除(Stripping)为短。其目的只是在于将芯片表面之光阻因显影预烤等制程所造成之光阻毛边或细屑(Scum)加以去除,以使图形不失真,蚀刻出来之图案不会有残余。2. 有关电浆去除光阻之原理,请参阅「电浆光阻去除」(Ashing)。3. 通常作电浆预处理,均以较低之力,及小之功率为之,也就是使光阻之蚀刻率降低得很低,使得均匀度能提高,以保持完整的图形,达到电浆预处理的目的。

45 DESIGN RULE 设计规范由于半导体制程技术,系一们专业、精致又复杂的技术,容易受到不同制造设备制程方法(RECIPE)的影响,故在考虑各项产品如何从事制造技术

完善,成功地制造出来时,需有一套规范来做有关技术上之规定,此即“DESIGN RULE”,其系依照各种不同产品的需求、规格,制造设备及制程方法、制程能力、各项相关电性参数规格等之考虑,订正了如:1. 各制程层次、线路之间距离、线宽等之规格。2. 各制程层次厚度、深度等之规格。3. 各项电性参数等之规格。以供产品设计者及制程技术工程师等人之遵循、参考。

46 EDSIGN RULE 设计准则设计准则EDSIGN RULE:反应制程能力及制程组件参数,以供IC设计者设计IC时的参考准则。一份完整的Design Rule包括有下列各部分:A.制程参数:如氧化层厚度、复晶、金属层厚度等,其它如流程、ADI、AEI 参数。主要为扩散与黄光两方面的参数。B.电气参数:提供给设计者做仿真电路时之参考。C.布局参数:及一般所谓的3μm、2μm、1.5μm…等等之Rules,提供布局原布局之依据。D.光罩制作资料:提供给光罩公司做光罩时之计算机资料,如CD BAR、测试键之摆放位置,各层次之相对位置之摆放等。

47 DIE BY DIE ALIGNMENT 每FIELD均对准每个Field再曝光前均针对此单一Field 对准之方法称之;也就是说每个Field均要对准。

48 DIFFUSION 扩散在一杯很纯的水上点一滴墨水,不久后可发现水表面颜色渐渐淡去,而水面下渐渐染红,但颜色是越来越淡,这即是扩散的一例。在半导体工业上常在很纯的硅芯片上以预置或离子布植的方式作扩散源(即红墨水)。因固态扩散比液体扩散慢很多(约数亿年),故以进炉管加高温的方式,使扩散在数小时内完成。

49 DI W A TER 去离子水IC制造过程中,常需要用盐酸容易来蚀刻、清洗芯片。这些步骤之后又需利用水把芯片表面残留的盐酸清除,故水的用量相当大。然而IC。工业用水,并不是一般的自来水或地下水,而是自来水或地下水经过一系列的纯化而成。原来自来水或地下水中含有大量的细菌、金属离子级PARTICLE,经厂务的设备将之杀菌、过滤和纯化后,即可把金属离子等杂质去除,所得的水即称为〝去离子水〞,专供IC制造之用。

50 DOPING 参入杂质为使组件运作,芯片必须参以杂质,一般常用的有:1.预置:在炉管内通以饱和的杂质蒸气,使芯片表面有一高浓度的杂质层,然后以高温使杂质驱入扩散;或利用沉积时同时进行预置。2.离子植入:先使杂质游离,然后加速植入芯片。

51 DRAM , SRAM 动态,静态随机存取内存随机存取记忆器可分动态及静态两种,主要之差异在于动态随机存取内存(DRAM),在一段时间(一般是0.5ms~5ms)后,资料会消失,故必须在资料未消失前读取元资料再重写(refresh),此为其最大缺点,此外速度较慢也是其缺点,而DRAM之最大好处为,其每一记忆单元(bit)指需一个Transistor(晶体管)加一个Capacitor(电容器),故最省面积,而有最高之密度。而SRAM则有不需重写、速度快之优点,但是密度低,每一记忆单元(bit)有两类:A.需要六个Transistor(晶体管),B.四个Transistor(晶体管)加两个Load resistor(负载电阻)。由于上述之优缺点,DRAM 一般皆用在PC(个人计算机)或其它不需高速且记忆容量大之记忆器,而SRAM则用于高速之中大型计算机或其它只需小记忆容量。如监视器(Monitor)、打印机(Printer)等外围控制或工业控制上。

52 DRIVE IN 驱入离子植入(ion implantation)虽然能较精确地选择杂质数量,但受限于离子能量,无法将杂质打入芯片较深(um级)的区域,因此需借着原子有从高浓度往低浓度扩散的性质,在相当高的温度去进行,一方面将杂质扩散道教深的区域,且使杂质原子占据硅原子位置,产生所要的电性,另外也可将植入时产生的缺陷消除。此方法称之驱入。在驱入时,常通入一些氧气,因为硅氧化时,会产生一些缺陷,如空洞(V acancy),这些缺陷会有助于杂质原子的扩散速度。另外,由于驱入世界原子的扩散,因此其方向性是各方均等,甚至有可能从芯片逸出(out-diffusion),这是需要注意的地方。

53 E-BEAM LITHOGRAPHY电子束微影技术目前芯片制作中所使用之对准机,其曝

光光源波长约为(365nm~436nm),其可制作线宽约1μ之IC图形。但当需制作更细之图形时,则目前之对准机,受曝光光源波长之限制,而无法达成,因此在次微米之微影技术中,及有用以电子数为曝光光源者,由于电子束波长甚短(~0.1A),故可得甚佳之分辨率,作出更细之IC图型,此种技术即称之电子束微影技术。电子束微影技术,目前已应用于光罩制作上,至于应用于光芯片制作中,则仍在发展中。

54 EFR(EARLY FAILURE RA TE)早期故障率Early Failure Rate是产品可靠度指针,意谓IC到客户手中使用其可能发生故障的机率。当DRAM生产测试流程中经过BURN-IN 高温高压测试后,体质不佳的产品便被淘汰。为了确定好的产品其考靠度达到要求,所以从母批中取样本做可靠度测试,试验中对产品加高压高温,催使不耐久的产品故障,因而得知产品的可靠度。故障机率与产品生命周期之关系类似浴缸,称为Bathtub Curve.

55 ELECTROMIGRA TION 电子迁移所谓电子迁移,乃指在电流作用下金属的质量会搬动,此系电子的动量传给带正电之金属离子所造成的。当组件尺寸越缩小时,相对地电流密度则越来越大;当此大电流经过集成电路中之薄金属层时,某些地方之金属离子会堆积起来,而某些地方则有金属空缺情形,如此一来,堆积金属会使邻近之导体短路,而金属空缺则会引起断路。材料搬动主要原动力为晶界扩散。有些方法可增加铝膜导体对电迁移之抗力,例如:与铜形成合金,沉积时加氧等方式。

56 ELECTRON/HOLE 电子/ 电洞电子是构成原子的带电粒子,带有一单位的负电荷,环绕在原子核四周形成原子。垫洞是晶体中在原子核间的共享电子,因受热干扰或杂质原子取代,电子离开原有的位置所遗留下来的“空缺”因缺少一个电子,无法维持电中性,可视为带有一单位的正电荷。

57 ELLIPSOMETER 椭圆测厚仪将已知波长之射入光分成线性偏极或圆偏极,照射在待射芯片,利用所得之不同椭圆偏极光之强度讯号,以Fourier分析及Fresnel方程式,求得待测芯片模厚度

58 EM(ELECTRO MIGRA TION TEST)电子迁移可靠度测试当电流经过金属导线,使金属原子获得能量,沿区块边界(GRAIN Bounderies)扩散(Diffusion),使金属线产生空洞(V oid),甚至断裂,形成失效。其对可靠度评估可用电流密度线性模型求出:AF=【J (stress)/J(op)】n×exp【Ea/Kb (1/T(op)- 1/T(stress))】TF=AF×T(stress)

59 END POINT DETECTOR 终点侦测器在电浆蚀刻中,利用其反应特性,特别设计用以侦测反应何时完成的一种装置。一般终点侦测可分为下列三种:A.雷射终点侦测器(Laser Endpoint Detector):利用雷射光入射反应物(即芯片)表面,当时颗发生时,反应层之厚度会逐渐减少,因而反射光会有干扰讯号产生,当蚀刻完成时,所接收之讯号亦已停止变化,即可测得终点。B.激发光终点侦测器(Optical Emission End Point Detector)用一光谱接受器,接受蚀刻反应中某一反应副产物(Byproduct)所激发之光谱,当蚀刻反应逐渐完成,此副产物减少,光谱也渐渐变弱,即可侦测得其终点。C.时间侦测器:直接设定反应时间,当时间终了,即结束其反应。

60 ENERGY能量能量是物理学之专有名词。例如:B比A之电压正100伏,若在A 板上有一电子受B版正电吸引而加速跑到B版,这时电子在B版就比在A版多了100电子伏特的能量。

61 EPI W AFER 磊晶芯片磊晶系在晶体表面成长一层晶体。

62 EPROM(ERASABLE-PROGRAMMABLE ROM)电子可程序只读存储器MASK ROM内所存的资料,是在FAB 内制造过程中便已设定好,制造完后便无法改变,就像任天堂游戏卡内的MASK ROM,存的是金牌玛丽就无法变成双截龙。而EPROM是在ROM 内加一个特殊结构叫A FAMDS,它可使ROM内的资料保存,但当紫外光照到它时,它会使ROM内的资料消失。每一个晶忆单位都归口。然后工程人员再依程序的规范,用30瓦

左右的电压将0101….资料灌入每一个记忆单位。如此就可灌电压、紫外光重复使用,存入不同的资料。也就是说如果任天堂卡内使用的是EPROM,那么你打腻了金牌玛丽,然后灌双截龙的程序进去,卡匣就变成双截龙卡,不用去交换店交换了。

63 ESDELECTROSTA TIC DAMAGEELECTROSTA TIC DISCHARGE 静电破坏静电放电1自然界之物质均由原子组成,而原子又由质子、中子及电子组成。在正常状态下,物质成中性,而在日常活动中,会使物质失去电子,或得到电子,此即产生一静电,得到电子之物质为带负静电,失去电子即带正静电。静电大小会随着日常的工作环境而有所不同。如下表所示。活动情形静电强度(V olt)

10-20﹪相对湿度65-95﹪相对湿度

走过地毯走过塑料地板在以子上工作拿起塑料活页夹,袋拿起塑料带工作椅垫摩擦35,00012,0006,0007,00020,00018,000 1,5002501006001,00015,000

表1 日常工作所产生的静电强度表2.当物质产生静电后,随时会放电,弱放到子组件上,例如IC,则会将组件破坏而使不能正常工作,此即为静电破坏或静电放电。3.防止静电破坏方法有二:A.在组件设计上加上静电保护电路。B.在工作环境上减少静电,例如工作桌之接地线,测试员之静电环。载运送上使用防静电胶套及海绵等等。

64 ETCH 蚀刻在集成电路的制程中,常需要将整个电路图案定义出来,其制造程序通常是先长出或盖上一层所需要之薄膜,在利用微影技术在这层薄膜上,以光阻定义出所欲制造之电路图案,再利用化学或物理方式将不需要之部分去除,此种去除步骤便称为蚀刻(ETCH)一般蚀刻可分为湿性蚀刻(WET ETCH)及干性蚀刻(DRY ETCH)两种。所谓干性蚀刻乃是利用化学品(通常是盐酸)与所欲蚀刻之薄膜起化学反应,产生气体或可溶性生成物,达到图案定义之目的。而所谓干蚀刻,则是利用干蚀刻机台产生电浆,将所欲蚀刻之薄膜反映产生气体由PUMP抽走,达到图案定义之目的。

65 EXPOSURE 曝光其意义略同于照相机底片之感光在集成电路之制造过程中,定义出精细之光组图形为其中重要的步骤,以运用最广之5X STEPPER为例,其方式为以对紫外线敏感之光阻膜作为类似照相机底片,光罩上则有我们所设计之各种图形,以特殊波长之光线(G-LINE 436NM)照射光罩后,经过缩小镜片(REDUCTION LENS)光罩上之图形则成5倍缩小,精确地定义在底片上(芯片上之光阻膜)经过显影后,即可将照到光(正光阻)之光阻显掉,而得到我们想要之各种精细图形,以作为蚀刻或离子植入用。因光阻对于某特定波长之光线特别敏感,故在黄光室中早将一切照明用光元过滤成黄色,以避免泛白光源中含有对光阻有感光能力之波长成分在,这一点各相关人员应特别注意,否则会发生光线污染现象,而扰乱精细之光阻图。

66 FABRICA TION(FAB)制造Fabrication为“装配”或“制造”之意,与Manufacture 意思一样,半导体制造程序,其步骤繁多,且制程复杂,需要有非常精密的设备和细心的作业,才能达到吴缺点的品质。FAB系Fabrication之缩写,指的是“工厂”之意。我们常称FIB为“晶圆区”,例如:进去“FAB”之前需穿上防尘衣。

67 FBFC(FULL BIT FUNCTION CHIP)全功能芯片由于产品上会有缺陷,所以有些芯片无法全功能工作。因此须要雷射修补前测试,以便找到缺陷位置及多寡,接着就能利用雷射修补,将有缺陷的芯片修补成全功能的芯片。《当缺陷超过一定限度时,无法修补成全功能芯片》

68 FIELD/MOA T 场区FIELD直译的意思是〝场〞,足球场和武道场等的场都叫做FIELD。它的含意就是一个有专门用途的区域。在IC内部结构中,有一区域是隔离电场的地方,通常介于两个MOS晶体管之间,称为场区。场区之上大部分会长一层厚的氧化层。

69 FIL TRA TION 过滤用过滤器(FIL TER,为一半透膜折叠而成)将液体或气体中的杂质给过滤掉,此称为FILTRA TION【过滤】因IC制造业对洁净式的要求是非常严格的,

故各种使用的液体或气体,必须借着一个PUMP制造压差来完成,如何炫则一组恰当的过滤器及PUMP是首要的课题。

70 FIT(FAILURE IN TIME)FIT适用以表示产品可靠度的单位FIT=1Eailure in 10 9 Device-Hours例如1000 Device 工作1000Hours后1 Device故障,则该产品的可靠度为:(1Failure)/(1000 Devices*1000 Hours)=1000 FITs

71 FOUNDRY客户委托加工客户委托加工主要是接受客户委托,生产客户自有权利的产品,也就是客户提供光罩,由SMIC来生产制造,在将成品出售给客户,指收取代工过程费用,这种纯粹代工,不涉及销售的方式在国际间较通常的称呼就是硅代工(Silicon Foundry)。

72 FOUR POINT PROBE 四点侦测·是量测芯片片阻值(Sheet Resistance)RS的仪器。·原理如下:有ABCD四针,A、D间通以电流I,B、C两针量取电压差(△V),则RS=K. △V/I K是常数比例和机台及针尖距离有关

73 F/S(FINESONIC CLEAN)超音波清洗超音波清洗的主要目的是用来去除附着在芯片表面的灰尘,其反应机构有二:1. 化学作用:利用SC-1中的NH4OH,H2O2与Silicon 表面反应,将灰尘剥除。2. 2.物理作用:利用频率800KHz,功率450W×2的超音波震荡去除灰尘。

74 FTIR 傅氏转换红外线光谱分析仪FTIR乃利用红外线光谱经傅利叶转换进而分析杂质浓度的光谱分析仪器。目的:·已发展成熟,可Routine应用者,计有: A.BPSG/PSG 之含磷、含硼量预测。 B.芯片之含氧、含碳量预测。 C.磊晶之厚度量测。·发展中需进一步Setup者有:A.氮化硅中氢含量预测。B.复晶硅中含氧量预测。C.光阻特性分析。FTIR 为一极便利之分析仪器,STD的建立为整个量测之重点,由于其中多利用光学原理、芯片状况(i.e.晶背处理状况)对量测结果影响至钜。

75 FTY(FINAL TEST YIELD)在晶圆出厂后,必须经过包装及T1(断/短路测试),Burn -in(烧结),T3(高温功能测试),T4(低温功能测试),QA测试,方能销售、出货至客户手中。在这段漫长而繁杂的测试过程中,吾人定义Final Test Y ield 为:T1 Yield* Burn –in Yield*T3 Yield*T4 Yield

76 FUKE DEFECT 成因为硅化物之氧化,尤其是以水蒸气去致密化PBSG时会发生,造成闸极(Poly Gate)与金属间的短路。硅化物之氧化可分为二类型:(以TiSi2)1. 热力学观点SiO2是最稳定,故Si 扩散至TiSi2之表面时会与水反应成SiO2而非TiO2。2. 动力学观点而言,当Si不足时则会形成TiO2而将TiSi2分解。

77 GA TE OXIDE 闸极氧化层GA TE OXIDE是MOSFET(金氧半场效晶体管)中相当重要的闸极之下的氧化层。此氧化层厚度较薄,且品质要求也较严格。

78 GA TE V ALVE 闸阀用来控制气体压力之控制装置。通常闸阀开启越大,气体于反应室内呈现之压力较低;反之,开启越小,压力较高。

79 GEC(GOOD ELECTRICAL CHIP)优良电器特性芯片能够合于规格书(Data Book)上所定义电器特性的芯片。这些芯片才能被送往芯片包装工厂制成成品销售给客户。

80 GETTERING 吸附“Gettering”系于半导体制程中,由于可能受到晶格缺陷(Crystal Defect)或金属类杂质污染等之影响,造成组件接口之间可能有漏电流(Junction Leakage)存在,而影响组件特性;如何将这些晶格缺陷、金属杂质摒除解决的种种技术上作法,就叫做”Gettering”吸附。吸附一般又可分“内部的吸附”---Intrinsic Gettering 及“外部的吸附”---Extrinsic Gettering。前者系在下线制造之前先利用特殊高温步骤让晶圆表面的「晶格缺陷或含氧量」尽量降低。后者系利用外在方法如:晶背伤言、磷化物(POCl3)预置ETC将晶圆表面的缺陷及杂质等尽量吸附到晶圆背面。两者均可有效改善上述问题。

81 G-LINE G-光线G-line系指一种光波的波长,多系水银灯所发出之光波波长之一,

其波长为436nm。G-line之光源,最常作为Stepper所用之水银灯,本来系由许多不同之波长的光组成,利用一些Mirror和Filter反射、过滤的结果,会将其它波长之光过滤掉,仅余G-line作为曝光用。使用单一波长作为曝光光源可以得到较佳的能量控制和解吸力,但由于其为单色波故产生之驻波效应(Standing Wave)对光阻图案产生很大的影响。在选择最佳光阻厚度,以府合驻波效应,成为G-line Standing最要的工作之一。

82 GLOBAL ALIGNMENT 整片性对准与计算Global Alignment系指整片芯片在曝光前,先作整片性之对准与计算,然后接着可做整片芯片之曝光。·GLOBAL ALIGNMENT分为两种:1普通的Global Alignment:每片芯片共对准左右两点。2 Advance Global Alignment:每片芯片对准预先设定好之指定数个Field的对准键,连续对准完毕并晶计算机计算后,才整片曝光。

83 GOI(GA TE OXIDE INTEGRITY)闸极氧化层完整性半导体组件中,闸极氧化层的完整与否关系着电容上电荷的存放能力,故需设计一适当流程,其主要目的在侧闸极氧化层之崩溃电压(breakdown voltage)、有效氧化层厚度等,以仿真闸极氧化层的品质及可信赖度,通常即以此崩溃电压值表示GOI的优劣程度。

84 GRAIN SIZE 颗粒大小一种晶体材料形成后,从微观的角度来看,材料都是一大堆颗粒垒叠在一起而成。这些颗粒有大有小,尺寸不一。而且材料的特性也会因为颗粒大小而变化,故常要注意其大小变化。

85 GRR STUDY(GAUGE REPEA TABILITY AND REPRODUUCIBILITY)测量仪器重复性与再现性之研究将良策仪器的重复性—一其本身的变异,再现性—操作人本身的变异,用统计的方法算出,以判断量测仪器是否符合制程参数控制之需要。

86 H2SO4 硫酸Suifuric Acid硫酸,为目前最广泛使用的工业化学品。强力腐蚀性、浓稠、油状液体,依纯度不同,由无色至暗棕色,与水以各种不同比例互溶,甚具活性。溶解大部分的金属。浓硫酸具氧化、脱水、磺化大部分的有机化合物,常常引起焦黑。比重1.84,沸点315℃。与水混合时需格外小心,由于放热引起爆炸性的溅泼,永远是将酸加到水中,而非加水至酸中。不小心被溅到,用大量水冲洗。目前在线上,主要用于SO清洗及光阻去除。

87 H3PO4 磷酸PHOSPHORIC ACID 磷酸无色无谓起泡液体或透明晶形固体。依温度、浓度而定。在20℃50﹪及75﹪强度为易流动液体,85﹪为似糖浆,100﹪酸为晶体。比重1.834,熔点42.35℃。在213℃失去Y2 H2O,形成焦磷酸。溶于水、乙醚,能腐蚀铁及合金。对皮肤、眼睛有刺激性,不小心溅到,可用水冲洗。目前磷酸用于SI3N4的去除,浓度是85﹪,沸点156℃,SI3N4与SIO2的蚀刻比约为30:1。

88 HCL 氯化氢(盐酸)Hydrochloric Acid盐酸,为无色或淡黄色,发烟,刺激性液体。氯化氢的水溶液。盐酸是一种强烈酸性及高腐蚀性酸。市面出售之”浓”或发烟酸含有氯化氢38%,比重1.19。氯化氢溶解在水中有各种不同的浓度。可溶于水、酒精、苯、不可燃。用途广泛。可用于食品加工、金属之酸洗与清洁、工业酸化、一般之清洗、实验试药。不小心被溅到,用大量水冲洗。目前线上,主要用于RCA清洗。

89 HEPA高效率过滤器HEPA(High Efficiency Particulate Air Filter)为洁净室内用以滤去微粒之装置,一般以玻璃纤维制成,可将0.1μm 或0.3μm以上之微粒滤去99.97﹪,压力损失约12.5㎜H2O。层流台能保持Class100以下之洁净度,即靠HEPA达成。目前除层流台使用HEPA外,其它如烤箱、旋转机,为了达到控制Particle的效果,也都装有HEPA 之设计。

90 HILLOCK 凸起物金属溅镀后为使金属与硅基(Si-Substrate)有良好的欧姆式接触需先经融合过程,在融合过程中因铝与硅的热膨胀系数不同(铝将会膨胀较快),而造成部分的铝无法向外扩张只得向上膨胀造成小山丘状的”凸起物”--Hillock。

91 HMDS HMDS蒸镀HMD原为化学药品HexaMethylDiSilazane的缩写,在此则是指芯片在上光阻前的一个预先处理步骤。HMDS蒸镀就是利用惰性气体(例如氮气)带着HMDS 的蒸汽通过芯片表面,而在芯片表面形成一层薄膜。其目的在于:A.消除芯片表面的微量水分。B.防止空气中的水汽再次吸附于晶面C.增加光阻剂(尤其是正光阻)对于晶面的附着能力,进而减少在尔后之显影过程中产生掀起,或是在蚀刻时产生了”Undercutting”的现象。目前在规范中规定于HMDS蒸镀完4小时内需上光阻以确保其功能。

92 HNO3 硝酸NITRIC ACID硝酸透明、无色或微黄色、发烟、易吸湿之腐蚀性液体,能腐蚀大部分金属。歧黄色是由于曝光所产生之二氧化氮,为强氧化剂,可与水混合,沸点78℃,比重1.504。IC产业中硝酸用于清洗炉管,但对皮肤有腐蚀性,为强氧化剂,与有机物接触有起火危险。清洗炉管用。

93 HOT ELECTRON EFFECT 热电子效应在VLST的时代,Short Channel Devices势在必行,而目前一般Circuit 应用上又未打算更改Supply V oltage;如此一来,VG=VD S=5V 情况下,将造成Impact Ionization(撞击游离化)现象发生于Drain邻近区域。伴随而生之Electron-Hole pairs(电子电洞对),绝大部分经由Drain(Electrons)or Sub.(Holes)导流掉。但基于统计观点,总会有少部分Electrons(i.e. Hot-Electrons)所具Energy,足以克服Si-SiO2之Barrier Height(能障),而射入SiO2且深陷(Trap)其中。另亦有可能在Hot-Electrons 射入过程中打断Si-H键结,而形成Interface Trap 于Si-SiO2接口。不论遵循上述二者之任一,均将导致NMOS Performance的退化(Degradation)现象。

94 I-LINE STEPPER I-LINE步进对准曝光机当光罩与芯片对准后,利用365nm之波长为光源,将预坐在光罩上图形以M:1之比例,一步一步的重复曝光至芯片上之机器。

95 IMPURITY杂质纯粹的硅市金刚石结构,在室温下不易导电。这时如加一些B11或As 7 5取代硅的位置,就会产生“电洞”或“载子”,加以偏压后就可轻易导电。加入的东西即称为杂质。

96 INTEGRA TED CIRCUIT(IC)集成电路集成电路是一九五八年由美国德州仪器公司所发明的。他是将一个完整的电子电路处理在一块小小的硅芯片上,然后再以金属联机与外在引线相接,外加陶瓷或塑料包装的装置,由于它能将原本需要许多零件的电子电路集中缩小,因此被称为集成电路。它具备优于传统电子电路的三个特性:体积小、廉价、可靠。依照其集积化的程度可区分为小型(SSI)、中型(MSI)、大型(LSI)、超大型(VLSI)集成电路。

97 ION IMPLANTER 离子植入机在IC制程中有时需要精确地控制杂质的浓度及深度,此时即不宜由扩散之方式为之,故以”离子植入机”解离特定气体后调整离子束电流(Beam Current),计算电流X时间得到所植入杂质的浓度并利用加速电压控制植入的深度。

98 ION IMPLANTA TION 离子植入1. 由于加速器集真空技术的发展,离子布植机成为本世纪高科技产品之一,取代了早先的预置制程。2. 其好处有:2-1可精确控制剂量。2-2在真空下操作,可免除杂质污染。2-3可精确控制植入的深度。2-4是一种低温的制程。2-5 只要能游离,任何离子皆可植入

99 ISOTROPIC ETCHING 等向性蚀刻在蚀刻反应中,除了纵向反应发生外,横向反应亦同时发生,此总蚀刻即称之为等向性蚀刻。一般化学湿蚀刻多发生此种现象。干式蚀刻,其实刻后的横截面具有异向性蚀刻特性(Anisotropic),即可得到较陡的图形。

100 ITY(INTEGRA TED TEST YIELD)为界定产品从wafer fab至组装、测试所有流程的良率,其定义为:INTEGRA TED TEST YIELD=Wafer Yield*MPY*A TYNote:MPY:Multi-Probe Yield A TY:Assembly Test Yield

101 LA TCH UP 栓锁效应当VLSI线路密度增加,Latch-Up之故障模式于MOS VLSI 中将愈来愈严重,且仅发生于CMOS电路,所有COMS电路西寄生晶体管所引起的

LA TCH-UP问题称之为SCR (SILICON-CONYROLLED RECTIFIER)LA TCH-UP,在S1基体内CMOS中形成两个双截子晶体管P-N-P-N形式的路径,有如一个垂直的P+-N-P与一个水平N+-P-N晶体管组合形成于CMOS反向器,如果电压降过大或受到外界电压、电流或光的触发时,将造成两个晶体管互相导过而短路,严重的话将使IC烧毁,故设计CMOS路防止LA TCH-UP的发生是当前IC界最重要的课题。

102 LAYOUT 布局此名词用在IC设计时,是指将设计者根据客户需求所设计之线路,经由CAD(计算机辅助设计),转换成实际制作IC时,所需要之光罩布局,以便去制作光罩。因此此一布局工作,关系到光罩制作出后是和原设计者之要求符何,因此必须根据一定之规则,好比一场游戏一样,必须循一定之规则,才能顺利完成,而布局完成后之图形便是IC工厂制作时所看到的光罩图形。

103 LOAD LOCK 传送室用来隔绝反应室与外界大器直接接触,以确保反应室内之洁净,降低反应是受污染之程度。一般用于电浆蚀刻及金属溅度等具有真空反应室之设备。

104 LOT NUMBER 批号批号乃是为线上所有材料之身份证,KEY IN批号如同申报流动户口,经由COMAX系统藉以管制追踪每批材料之所在站别,并得以查出每批材料之详细相关资料,固为生产过程中之重要步骤。批号为7,其编排方法如下:X X X X X 年码流水序号92 0000193 0000294 00003以下类推※批号之产生乃于最投片时由SMS系统自动产生。

105 LPCVD(LOW PRESSURE)低压化学气相沉积LPCVD的全名是Low Pressure Chemical V apor Deposition,即低压化学气相沉积。这是一种沉积方法。在IC制程中,主要在生成氮化硅、复晶硅、二氧化硅及非晶硅等不同材料。

106 LP SINTER 低压烧结低压烧结(Low Pressure Sinter, LP Sinter),指在低于大气压力下(一般为50 Pa或更地),加热组件。目地在使金属膜内之原子,籍由热运动重新排列,以减少原有之晶格缺陷,形成较佳之金属结晶颗粒以增加膜之品质。由于在低压下热传导之途径主要为辐射(Radiation)而非对流(Convection)或传导(Conduction),因此控温之方式须选以加热线圈为监控温度(Spike Control)而非实际芯片或管内之温度(Profile Control),以避免过热(Over-Shooting)之现象。

107 LPY(LASER PROBE YIELD)雷射修补前测试良率针测出能够被雷射修补后,产生出全功能的芯片,比便送入雷射修补机,完成雷射修补的动作。此测试时由全功能芯片一开始就是全功能芯片,须要经过雷射修补前测试,计算出缺陷多寡及位置,以便进行雷射修补,将缺陷较少的芯片修补成全功能芯片。(缺陷超过一定限度时无法修补成全功能芯片)108 MASK 光罩MASK原意为面具,而事实上光罩在整个IC制作流程上,所扮演之角色艺有几分神似。光ˋ照主要之用途在于利用光阻制程,将我们所需要之图形一直复印在芯片上,制作很多之IC晶方。而光罩所用只对准机台,也分为1X,5X,10X,MASK(即1:1,5:1,10:1)等,而根据其制作之材质又可分为石英光罩(QUARTY),绿玻璃光罩等。

109 MICRO,MICROMETER,MICRON 微,微米 1.定义:Micro为10-6 1 Micro=10-61 Micrometer =10-6 m=1 Micro=1μm通常我们说1μ即为10-6 m又因为1?=10-8㎝=10-10m (原子大小)故1μ=10,000?约唯一万个原子堆积而成的厚度或长度。

110 MISALIGN 对准不良 1.定义:这层光阻图案和上层【即留在芯片上者】图案叠对不好,超出规格。可依照不同层次的规格决定要不要修改。原因:人为、机台、芯片弯曲、光罩

111 MOS 金氧半导体 1.定义:构成IC的晶体管结构可分为两型-双载子型(bipolar)和MOS型(Metal-Oxide-Semiconductor)。双载子型IC的运算速度较快但电力消耗较大,制造工程也复杂,并不是VLSI的主流,而MOS型是由电厂效应晶体管(FET)集积化而成。先在硅上形成绝缘氧化膜之后,再由它上面的外加电极(金属或复晶硅)加入电场来控

制其动作,制程上比较简单,,。也较不耗电,最早成为实用化的是P-MOS,但其动作速度较慢,不久更高速的N-MOS也被采用。一旦进入VLSI的领域之后,NMOS的功率消耗还是太大了于是由P-MOS及N_MOS组合而成速度更高,电力消耗更少的互补式金氧半导体(CMOS,Complementary MOS)遂成为主流。

112 MPY(MULTI PROBE YIELD)多功能侦测良率针测出符合电路特性要求的芯片,以便送刀封包工厂制成内存成品;此测试时得到的良品率称之。每片晶圆上并不是每一个芯片都能符合电路特性的要求,因此须要多功能针测以找出符合要求的芯片。

113 MTBF(MEAN TIME BETWEEN FAILURE)MTBF为设备可靠度的评估标准之一,其意指设备前后发生故障的平均时间。MTBF时间愈短表示设备的可靠度愈佳,另外MTTR 为Mean Time to Repair为评估设备修复的能力。

114 N2,NITROGEN 氮气定义:空气中约4/5是氮气。氮气势一安定之惰性气体,由于取得不难且安定,故Fib内常用以当作Purge管路,除去脏污、保护气氛、传送气体(Carrier Gas)、及稀释(Dilute)用途。另外,氮气在零下196℃(77F)以下即以液态存在,故常被用作真空冷却源。现在Fab内Clean House用之氮气为厂务提供99.999﹪纯度者,生产线路所用之氮气为瓶装更高纯度者。因氮气之用量可局部反应生产成本,故应节约使用以降低成本。

115 N,P TYPE SEMICONDUCTOR N,P型半导体1. 定义:一般金属由于阻值相当低(10-2Ω-㎝以下),因此称之为良导体,而氧化物阻值高至105Ω-㎝以上,称之非导体或绝缘体。若阻值在10-2~105Ω-㎝之间,则名为半导体。IC工业使用的硅芯片,阻值就是在半导体的范围,但由于Si(硅)是四价键结(共价键)的结构,若参杂有如砷(As)磷(P)等五价元素,且占据硅原子的地位(Substitutional Sites),则多出一个电子,可用来导电,使导电性增加,称之为N型半导体。若参杂硼(B)等三价元素,且仍占据硅原子的地位,则键结少了一个电子,因此其它键结电子在足够的热激发下,可以过来填补,如此连续的电子填补,称之为电洞传导,亦使硅之导电性增加,称之为P型半导体。因此N型半导体中,其主要带电粒子为带负电的电子,而在P型半导体中,则为带正电的电洞。在平衡状况下(室温)不管N型或P型半导体,其电子均与电洞浓度的乘积值不变。故一方浓度增加,另一方即相对减少。

116 NSG(NONDOPED SILICA TE GLASS)无参入杂质硅酸盐玻璃NSG为半导体集成电路中之绝缘层材料,通常以化学气相沉积的方式声称,具有良好的均匀覆盖特性以及良好的绝缘性质。主要应用于闸极与金属或金属与金属间高低不平的表面产生均匀的覆盖及良好的绝缘,并且有助于后绩平坦化制程薄膜的生成。

117 NUMERICAL APERTURE(N.A.)数值孔径1. 定义:NA是投影式对准机,其光学系统之解析力(Resolution)好坏的一项指针。NA值越大,则其解析力也越佳。依照定义,数值孔径NA=n.sin?=n.D/2/f=n.D/2f换算成照相机光圈值f-number(f/#)可得f/#=f/d=1/2NA (D:镜面直径。f:镜头焦距。n:镜头折射率。f/#即我们在照相机镜头之光圈值上常见的f/16,8,5.6,4,5.3,2.8等即是)亦即,镜片越大,焦距越短者,解析力就越佳,但镜片的制作也就越困难,因为易产生色差(Chromatic Aberration)及像畸变(Distorsion),以CANON Stepper 为例,其NA=0.42,换算成照相机光圈,Stepper镜片之昂贵也就不足为奇了。

118 OEB(OXIDE ETCH BACK )氧化层平坦化蚀刻将Poly-1上之多余氧化层(Filling OX)除去,以达到平坦化之目的。

119 OHMIC CONTACT 欧姆接触 1. 定义:欧姆接触试纸金属与半导体之接触,而其接触面之电阻值远小于半导体本身之电阻,使得组件操作时,大部分的电压降在于活动区(Active region)而不在接触面。欲形成好的欧姆接触,有两个先决条件:A.金属与半导体间有低的接口能障(Barrier Height)B.半导体有高浓度的杂质渗入(ND>=1018 ㎝-3)前者

可使接口电流中热激发部分(Thermionic Emission)增加;后者则使接口空乏区变窄,电子有更多的机会直接穿透(Tunneling),而同时Rc阻值降低。若半导体不是硅晶,而是其它能量间隙(Energy Gap)较大的半导体(如GaAs),则较难形成欧姆接触(无适当的金属可用),必须于半导体表面参杂高浓度杂质,形成Metal-n+ -n or Metal-P+ -P等结构。

120 ONO(OXIDE NITRIDE OXIDE)氧化层-氮化层-氧化层半导体组件,常以ONO 三层结构做为介电质(类似电容器),以储存电荷,使得资料得以在此存取。在此氧化层- 氮化层–氧化层三层结构,其中氧化层与基晶的结合较氮化层好,而氮化层居中,则可阻挡缺陷(如pinhole)的延展,故此三层结构可互补所缺。

121 OPL (OP LIFE)(OPERA TION LIFE TEST)使用期限(寿命)任何对象从开始使用到失效所花时间为失败时间(Time of Failure: TF),对产品而言,针对其工作使用环境(Operation),所找出的TF,即为其使用期限(Operation Life Time)。其方法为:AF = exp [? (Estress-Eop)] *exp [ Ea / k (1 / Top –/ Tstress)]..(1)K = 8.63 * 10-5Failure Rate λ(t) = No. of Failure * 109 / Tatal Test Time * AF * Device, in FITTotal Test Time * AF = Operation Hours 122 OXYGEN 氧气OXYGEN氧气无色,无气味,无味道双原子气体。在-183℃液化成浅蓝色的液体,在218℃固化。在海平面上,空气中约占20﹪体积的氧,溶于水和乙醚,不可燃,可以助燃。在电浆光阻去除中,氧气主要用来去除光阻用。在电浆干蚀刻中,氧混入CF4气体中,可增加CF4气体的蚀刻速度。目前氧气主要用途在于电浆光阻去除;利用氧气在电浆中产生氧的自由基(RADICAL)与光阻中的有机物反应,产生二氧化碳和水气体蒸发,达到去除光阻的效果。

123 P31 磷·自然界元素之一。由15个质子及16个中子所组成。·离子植入的磷离子,是由气体PH3经灯丝加热分解得到的3 L P+离子,借着Extraction 抽出气源室经加速管加速后,布植在芯片上。·是一种N-type离子,用做磷植入,S/D植入等。

124 PARTICLE CONTAMINA TION 尘粒污染尘粒污染:由于芯片制造过程甚为漫长,经过的机器、人为处理操作过程甚为繁杂,但因机器、人为均获多或少会产生一些尘粒,这些尘粒一但沾附到芯片上,集会造成污染影响,而伤害到产品品质与良率,此即『尘粒污染』,我们在操作过程中应时时防着各项尘粒污染来源。

125 PARTICLE COUNTER 尘粒计数器 1.定义:快捷方式市之等即是以每立方呎内之为例数为分类标准,而计算微粒数的仪器即称尘粒计数器。

126 PASSIV A TION OXIDE(P/O)护层 1. 定义:为IC最后的制程,用以隔绝Device 和大气2. 目的:因与大气接触,故着重在Corrosion(铝腐蚀)、Crack(龟裂)、Pin Hole(针孔)之防治。除了防止组件为大气中污染之隔绝外,护层也可当作Metal层之保护,避免Metal被刮伤。3. 方法:护层可分两种材料: A.大部分产品以PSG当护层(P Content 2-4﹪)。 B.少部份以PECVD沉积之氮化硅为之。

127 P/D(PARTICLE DEFECT)尘粒缺陷Particle Defect颗粒缺陷为当今影响4M DRAM制程良率的最大主因,一般而言,particle size如大于design rule的二分之一,足以造成组件的损坏。故在clean room的洁净度要求,操作人员的洁净纪律、设备本身的结构以及制程的条件和设备维修的能力,无一不为了降低particle和提升良率而做最大的努力。

128 PECVD 电浆CVD 1.定义:CVD化学反应所须知能量可以是热能、光能或电浆。以电浆催化之CVD称作PECVD。PECVD的好处是反应速度快、较低的基版温度及Step Coverage;缺点是产生较大的应力,现Fib内仅利用PECVD做氮化硅护层。PECVD英文全名为Plasma Enhancement CVD。

129 PELLICLE 光罩护膜一般在光罩过程中,易有微尘掉落光罩上,而使chip有重复性缺陷,故在光罩上下面包围一层膜,称之为Pellicle。好处如下:1. 微层仅只掉落在膜上,光绕射结果对于此微尘影响图按程度将降至最低。2. 无须经清洗过程而只须用空气枪吹去

膜上异物即可将异物(微层)去除。

130 PELLICLE 光罩保护膜顾名思义,光罩保护膜之最大功能,即在保护光罩,使之不受外来赃污物之污染,而保持光罩之洁净;一般使用之材料为硝化织微素,而厚度较常用的有0.28U,0.86U两种。一般而言,可将PELLICLE分为两部分:(I)FRAME:骨架部分,支持其薄膜之支架,其高度称为STAND-OFF,一般而言,愈高其能忍受PARTICLE之能力愈高,但须配合机台之设计使用,(II)FILM:透明之薄膜,其厚度之均匀度,透光率是使用时重要之参数。PELLICLE之寿命,除了人为损伤外,一般均可曝光数十万次,透光率衰减后才停用并更换。光罩PELLICLE膜PARTICLE LENS SYSTEMWAFERPELLICLE面之成像

131 PH3 氢化磷 1.定义:一种半导体工业之气体,经灯丝加热供给能量后,可分解成P4,PH4、PH2(及H4)。通常31P4最大。可由质谱谱场分析出来,做N-type离子布植用132 PHOTORESIST 光阻光阻为有机材料,系利用光线照射始有机物质进行光化学反应而产生分子结构变化,在使用溶剂使之显像。目前一般商用光阻主要含有二部分(1)高分子树酯(2)光活性物质,一工作原理不同可分为正,负两类:(1)正型:光活性物质为DIAZOQUINOUE类,照光前难溶于碱液中,有抑制溶解树酯功能,照光后产生羧酸,反有利于碱液溶解,因此可区分曝光区与非曝光区。(2)负型:光活性物质为DIAZIDE类,照后生成及不安定之双电子自由基,能与高分子树酯键结,而增加分子量,选择适当溶剂便可区分曝光区与非曝光区。目前SMIC使用之正、负光阻,皆为适用于G-LINE(436NM)制程之光阻。

133 PILOT W AFER 试作芯片Pilot Wafer为试作芯片,并非生产芯片(Prime Wafer)。在操作机器前,为了确定机器是否正常所作的试片,或机器作完维修、保养后所作的测试用芯片均称为Pilot Wafer。由于Pilot Wafer所做出来的结果将决定该批的制程条件。故处理Pilot Wafer时,所抱持的态度必须和处理Prime Wafere一样慎重。

134 PINHOLE 针孔在光阻制程所谓的针孔,就是在光阻覆盖时,光阻薄膜无法完全盖住芯片表面,而刘有细小如针孔般的缺陷,再蚀刻制程时,很可能就被蚀刻制程穿透而致芯片的报废。在以往使用负光阻制程时,由于负光阻粘稠性较大,覆盖较薄,因此容易出现针孔,固有些层次(如CONTACT)必须覆盖两次,才能避免针孔的发生。目前制程大多使用正光阻,覆盖较厚,已无针孔的问题存在,QC亦不作针孔测试。

135 PIRANHA CLEAN 过氧硫酸清洗过氧硫酸(peroxymonosulfuric acid)又称为CARO’s acid,主要由硫酸加双氧水反应声称,反应式如下:H2SO4 + H2O2 ﹤=﹥H2SO5 + H2OH2SO5为一强氧化剂,可将有机物氧化分解为CO2 + H2O,因此在IC制程中常用来去除残留之光阻,另外对金属污染及微尘污染也有相当好的清洗效果。Piranha原意为食人鱼,在这里则是用来形容过氧硫酸与光阻之间的剧烈反应。

136 PIX 聚醯胺膜PIX作用为缓冲护层,可保护CELL于封装时缓冲封装所造成之应力,且可隔绝α–Particle,PIX本身为一负光阻。

137 PLASMA ETCHING 电将蚀刻 1.定义:在干蚀刻技术中,一班多采用电浆蚀刻与活性离子蚀刻,通常电浆蚀刻使用较高之压力(大于200mT)及较小之RF功率,当芯片浸在电浆之中,暴露在电将之表面层原子或分子与电浆中之活性原子接触并发生反应形成气态生成物而离开晶面造成蚀刻,此类蚀刻即称之为电浆蚀刻。所谓电浆极为气体分子在一电场中被游离成离子(正、负电荷)、电子及中性基(Radical)等,在纯化学反应中,吾人取中性基为蚀刻因子,在R.I.E时,取活性离子作为中性因子。

138 PM(PREVENTIVE MAINTENANCE)定期保养设备正常运转期间停机,实施定期(每天、每周、每月或每季等)的设备保养。例如:检修,上油,润滑,更换消耗材等。有良好的PM才能发挥高的设备运转效率,发挥设备最高的使用率。

139 POCL3 三氯氧化磷1.定义:一种用作N4扩散之化合物。通常以N2为“载气”(Carrier Gas),带着POCl3和O2(氧气)一起进入高温炉管,然后产生下列反应:4POCl3+3O2 2P2 O5+6Cl25 P2 O5+5Si 4P+5SiO2在反应过程中,磷沉淀于硅表面,同时硅表面亦行成一氧化层。

140 POLY SILICON 复晶硅SILICON是IC制造的主要原料之一。通常其结构都是单晶(单一方向的晶体)。而本名词也是SILICON,只是其结构是复晶结构。及其结晶的结构是多方向的,而非单一方向。POLY SILICON通常用低压化学气相沉积的方法沉积而得。其主要用途在作MOS的闸极极单元的接连。

141 POX 聚醯胺膜含光罩功能POX为PIX / PO Reticle Combine之略写,即PIX除具缓冲护层之作用外,同时可做PO Pattern用之光阻。PIX本身为一负光阻。

142 PREHEA T 预热 1.定义:在3190作金属溅镀时,第一个Station适用来预热芯片。

2.目的:2-1使芯片在大气中吸附的气体,藉加热加速其在真空中之排除,溅镀时可以有较干净之接口。2-2芯片温度高,溅镀之金属原子可以有较高之移动率,而使表面扩散较完全,有较好的表面覆盖性。※但预热的温度有其限制,高的建度温度使得金属与硅之接触电阻升高,也使得金属突起(Hillock)变的严重,而让表面反射率变差,在金属闸产品,也发现温度不同会造成其临界电压的改变。

143 PRESSURE 压力 1. .定义:气体分子撞击反应室之器璧所产生之力量。气体分子越少、压力越低。反之气体分子越多、压力越高。·如压力<大气压力时,表示真空,其压力单位即为真空度。1大气压=1atm=760mmHg水银柱压力1Torr(扥)=1/760atm=1mmHg·如压力>大气压力时,即用单位面积所受的重量表示,如㎏/㎝2 或psi(1b(磅)/in2(吋))。一般电浆蚀刻机之压力为50millitorr~0.5Torr。一般使用之气瓶之压力约为500psi~2000PSI。

144 REACTIVE ION ETCHING(R.I.E.)活性离子蚀刻1. 定义:在电浆蚀刻时,电浆里包含了活性原子、活性离子(正离子)及电子,当压力较低(小于100mT)且气体两端所加之电压购高时,活性离子即被迅速加速冲向电极上之芯片,而撞击晶面上暴露在电浆中的表层,将表层之原子击出,再与活性原子反应因而造成蚀刻,此类之蚀刻即称之为活性离子蚀刻。目前我们已有的R.I.E蚀刻机台为8110、8130、8330等。

145 RECIPE 程序PECIPE在字典的解释是医生的处方、厨师的食谱。在IC制程中则意指制程的程序。IC制造中各个步骤都有不同的要求:如温度要多少?某气体流量多少?反应室的压力多少?等等甚多的参数都是PECIPE内容的一部份。

146 REFLOW 回流回流是IC制造中医种特殊技术。做法是将磷或硼或两者合一,参入二氧化硅中(常用CVD方式)。之后将芯片推入高温炉管一段时间,该二氧化硅层(PSG BPSG或BSG)即会『流动』,使芯片表面变得较平坦。此即回流平坦化技术。回流取该氧化层『重新流动』之意。

147 REGISTRA TION ERROR 注记差 1. 定义:IC芯片的两个层次之间,必须要正确地叠在一起,此二层次图案完全正确对准之差距,即称为Registration Error。

148 RELIABILITY可靠性可靠性实在有很多方法来描述,但我们指针对两个观点来讨论。一般来说,可靠性就是客户对我们SMIC的产品,再他们使用一段很长的时间之后,仍能符合他们的信赖与期待。更精确的描述就是我们SMIC的产品在我们所要求的特殊环境的测试,经过一段很长的时间之后,仍能确保IC功能、函数的正常操作及称为可靠性合格产品。测试的项目很多,半总离不开电压、温度、湿度、机械应力及压力等。

149 REPEA T DEFECT 重复性缺点 1. 定义:重复性缺点系指同一芯片内每一个曝光区的相同位置均出现相同之缺点。重复性缺点仅发生于Stepper曝光之产品。重复性缺点所产生的现象可分为两种:A.光罩图案缺失:造成芯片图案缺失。B.光罩表面或Pellicle表面污

染:造成重复性显影不良。重复性缺点对产品良率有很大的杀伤力,例如一个曝光区内有八个晶方,若有一个晶方图案有缺失,就会造成产品良率1/8之损失。因此重复性缺点是VLSI 的头号杀手

150 RESISTIVITY阻值 1. 定义:物理学上定义阻值(Ω,即欧姆)为R=△V/I在物体两截面上通以定电流V,量得电压降△V,则△V/I即为这物体的阻值。但在半导体工业上,这样地易阻值并无太大实用价值。我们只关心芯片表面薄薄一层“动作区”的阻值。于是另外定义一“薄层阻值”,以四点针测的方法量取△V及I。Rs=△V/I(Ω/□)定义为芯片的阻值。

151 RESOLUTION 解析力 1. 定义:解析力在IC制程的对准及印刷(Align & Print)过程中站着相当重要的地位,尤其演进到VLSI后,解析力的要求就更高了。它是对光学系统(如对准机、显微镜、望远镜等)好坏的评估标准之一,现今多以法国人雷莱(Rayleigh)所制定的标准遵循之。物面上两光点经光学系统头于成像面上不会模糊到只被看成一点时,物面上两点间之最短距离。若此距离越小,则解析力越大。(通常镜面大者,即NA大者,其解析力也越大)解析力不佳时,例如对准机对焦不清时,就会造成CD控制不良,Metal 桥接,Contact瞎窗或开窗过大等。

152 RETICLE 光罩为使IC各个线路在芯片上成形(PA TTERN),则必须有规范露光及遮光区域(规范曝光成形)的赵子,此称为光罩。

153 REWORK/SCRAP/WAIVE 修改/报废/签过修改:分ADI修改,AEI修改ADI修改:将光阻去除,重新上新光阻,已定义新的或精确的图形。AEI修改:将已沉积或氧化的厚厚或薄层去除,重新沉积或氧化。报废:芯片受污染或流程不合规范上之规定,造成芯片有无良率之可能,则停止流程不继续生产谓之。签过:当芯片流程至某步骤时,发现图形或规格不合于规范内之规定,但其影响不致使芯片达报废之程度,可由工程师签署,继续流程。

154 RUN IN/OUT 挤进/挤出 1. 定义:对准不良的一种;挤进(Run in):不管是在水平或垂直方向,芯片中央附近对准良好,而两边图案向中央挤进。挤出(Run out):不管是在水平或垂直方向,芯片中央附近对准良好,而两边图案向中央挤出。

155 SCRUBBER 刷洗机 1. 在沉积或蚀刻制程之后常会有些微尘落在芯片表面,此种P/D可刷洗去除,避免对良率的伤害。2. 依照膜的性质,及机台的特性不同,通常我们有下列5种不同刷洗方式:- 去离子水冲洗- 毛刷刷洗- 高压水刷洗- 毛刷加高压水刷洗- 芯片双面刷洗

156 SAD(SOFTWARE DEFECT ANALYSIS)缺陷分析软件将每片晶圆及芯片上的缺陷送入计算机中,利用缺陷分析软件,将缺陷分类,一便利统计及分析的工作。目前89%微缩型产品分类如下:SBIT PSG PBTL CLTT OTHTPROW HROW SROW FROW 2ROWNROW OCL1 OCL2 QCL1 QCL2HCL1 HCL2 OTCO WCL1 WCL2YSEL NCOL LCIO BLK1 BLK2BLK3 OTHR APEO RWCL目前HYDRA产品分类如下:SBIT PBCT PBTL CLTT OTHTPRW1 PRW2 PRW3 FROW 2RW12RW2 NRW1 NRW2 OCL1 OCL2QCL1 QCL2 HCL1 HCL2 WCL1WCL2 YSEL NCOL APED RWCLBLK1 BLY2 BLK3 OTHR(以上均为分类时使用之表示名称)

157 SEM(SCANNING ELECTRON MICROSCOPE)电子显微镜EM最常用之运作方式为发射电子束方式(EMISSIVE MODE),电子油灯丝放出,而由5~30KV之电压加速,再经过电磁透镜使电子束聚集照射至试片表面。一般使通过扫描线圈之电流同时通过相对应之阴极射线管偏折电子束,而在萤光幕上产生相似而较大之扫描动作,达到放大之作用。扫描式电子显微镜的解像能介于光学显微镜与穿透式电子显微镜之间,可用于检验固体试片,由于视野纵深长,可显示清晰三度空间像。

158 SELECTIVITY选择性 1. 定义:两种材料,分别以相同的酸液或电浆作蚀刻,其

两种蚀刻率之比值谓之。例如复晶电浆蚀刻:对复晶之蚀刻率为2000?/min对氧化层之蚀刻率为200 ?/min则复晶对氧化层之选择性:SS=2000?/min/200 ?/min=10选择性越高表示蚀刻特性越好。一般干事实刻选择性较化学湿蚀刻为差,吾人取较高的选择性之目的即在于电浆蚀刻专心蚀刻该蚀刻之氧化层,而不会商道上层光阻或下层氧化层,以确保蚀刻之完整性。

159 SILICIDE 硅化物一般称为硅化物(Silicide),指耐火金属(Refratory Metal)之硅化物,如钛(Ti)、钨(W)、钼(Mo)等与元素硅(Si)结合而成之化合物(TiSi2、Wsi2、MoSi2)。硅化物应用在组件之目的,主要为降低金属与硅接口]、闸极或晶体管串联之阻抗,以增加组件之性能。以钛之硅化物为例。

160 SILICIDE 金属硅化物1. 定义:Silicide通常指金属硅化物,为金属与硅之化合物。

2. 目的:在微电子工业硅晶集成电路中主要用为2-1导体接触(Ohmic Contact)2-2单向能阻接触(Schottky Barrier Contact)2-3低阻闸极(Gate Electrode)2-4组件间通路(Interconnect)在VLSI(超大规模集成电路)时代中,接面深度及接口接触面积分别降至次微米及1~2平方毫米,以往广泛应用为金属接触的Al,由于严重的川入半导体问题,在VLSI中不再适用。再加上其它技术及应用上的需求,金属硅化物在集成电路工业上日亦受到重视。由于集成电路中之金属硅化物限于近贵重(Pt,Pd、Co、Ni、…)及高温金属(Ti、W、Mo、Ta)硅化物。

161 SILICON 硅硅-SI(全文SILICON)为自然界元素之一种,意即我们所使用的硅芯片组成元素,再元素周期表中排行14,原子量28.09,以结晶状态存在(重复性单位细胞组成),每一单位细胞为由一个硅原子在中心与其它4个等为硅原子所组成之四面体(称为钻石结构)如图标中心原子以其4个外围共价电子与邻近之原子其原型或其价件之结合。硅元素之电子传导特性介于金属导体与绝缘体材料之间(故称为半导体材料),人类可经由温度之变化、能量之激发及杂质参入后改变其传导特性,再配合了适当的制程步骤,便产生许多重要的电子组件,运用在人类的日常生活中。

162 SILICON NITRIDE 氯化硅氮化硅是SixNY的学名。这种材料跟二氧化硅有甚多相似处。氮化硅通常用低压化学气相沉积法或电浆化学气相沉积法所生成。前者所得之薄膜品质较佳,通常作IC隔离氧化技术中的阻隔层,而后者品质较差,但因其沉积时温度甚低可以作IC完成主结构后的保护层。

163 SMS (SEMICODUCTOR MANUFACTURING SYSTEMS)半导体制造系统此SMS –半导体制造系统为德州仪器公司(TI)为辅助半导体的生产制造而发展出的——计算机软件系统,其主要功能包含有:1)制程变更控制2)制程数据搜集与统计图表3)制程与操作规格制定4)机台维护追踪5)生产计划制定6)线上统计报表7)在制品操作与追踪8)自动化系统接口

164 SOFT W ARE, HARD WARE 软件,硬件1. 定义:大略而言,所谓硬件可泛指像PC-BOARD,机台外壳等一些零组件;而软件一般指运用程序,指令一套完整之控制系统,可经由程序、指令之修改而修改,以人为例子,软件就好比脑中之记忆、思想,可控制整个身体各部分之动作,而硬件就好比人的手、足、眼、耳等器官;由以上之比喻,可知道软件、硬件是相辅相成,缺一不可。近来尚有一种介于Software、Hardware之间,称为Firm-Ware,他的功用,,就相当于把软件写入硬件(比如PROM),以加快速度,因此软、硬件间的区分也变得较不明显了。

165 S.O.G.(SPIN ON GLASS)旋制氧化硅旋制氧化硅(Spin on Glass)是利用旋制芯片,将含有硅化物之溶液均匀地平涂与芯片上,在利用加热方式与溶剂驱离,并将固体硅化物硬化程稳定之非晶相氧化硅。其简单流程如下:旋转平涂→加热烧烤→高温硬化(~450℃)旋制氧化硅是应用在组件制造中,金属层间之平坦化(Planization)。以增加层与层之间的结合特性,避免空洞之形成及膜之剥裂。

166 S.O.J.(SMALL OUTLINE J-LEAD PACKAGE)缩小型J形脚包装IC 因外脚弯成“J”字形,且外伸长度较一般I.C.为小儿得名。是记忆I.C.的普遍化包装形态,为配合表面粘着技术的高集积度要求而诞生。

167 SOLVENT 溶剂 1. 两种物质相互溶解成一种均匀的物质时,较少的物质被称为溶质,较多的物质被称为溶剂。例如:堂溶解于水中,变成糖水,则糖为溶质,水为溶剂,缓和的结果称为溶液。2. 溶剂分有机溶剂与无机溶剂两种:2-1有机溶剂:分子内含有碳原子的称为有机溶剂,例如丙酮(CH3COCH3)、IPA(CH3CHOHCH3)。2-2无机溶剂:分子内不含有碳原子的称为无机溶剂,例如硫酸(H2SO4),氢氟酸(HF)3. 在FIB内所通称的溶剂,一般是只有机溶液而言。

168 SPECIFICA TION(SPEC)规范规范是公司标准化最重要的项目之一,它规定了与生产有关事项的一切细节,包括机台操作、洁净室、设备、保养、材料、工具及配件、品管、可靠性、测试…等等。IC制造流程复杂。唯有把所有事项钜细靡遗的规范清楚并确实遵照规范执行,检讨规范是否合理可行,相关规范是否有冲突,已达自主管理及全员参与标准化之目的。

169 SPICE PARAMETER SPIC参数1. 定义:SPICE是一个分析非线性DC、非线性瞬间AC和线性AC行为的电路仿真程序。其由各种不同的半导体组件模式计算之,有DIODES、BJT’S、JFET’S、MOSFET’S等,利用此种模式计算仿真实际半导体电路的工作情形。而使用于这些模型上的计算参数统称「SPICE参数」。目前由于公司使用之模式为HSPICE Level 2,故一般常说之SPICE参数,即指Design Rules所提供之HSPICE Level 2中MOSFET所用到的参数。

170 S.R.A(SPREADING RESISTENCE ANALYSIS)展布电阻分析在下列一些情况,可利用S.R.A.方法来得到其Resisitivity:(1) n on n+ layer, p on p+ layer(2) n on p layer, p on n layer(3) depth profiling(4) lateral profiling(5) very small areas在测量Resistivity的方式有很多,但若要降低校正,则一定要使用到Point-Contact Probe的展布电阻。

171 SPUTTERING 溅镀溅镀乃是带能量的离子撞击物体,致使表面的原子飞散出来,附着于基板上形成薄膜之现象。当所加电流为直流时,称为直流溅镀(D.C SPUTTERING):所加电流为射频时,称为射频贱镀(RADIO FREQUENCY SPUTTERING)。基于经济及效率观点,氩气为最常使用之气体。当氩气被快速电子碰撞时产生氩离子,此时电子数目增加并且同时受电场再加速,以便再次进行游离反应,如此不去如同雪崩(A V ALANCHE)一样产生辉光放电(GLOW DIS CHARGE),氩气离子受阴极(靶材)吸引,加速碰撞靶材,将表面原子打出而吸附在基本上。由于溅镀有薄膜厚度容易控制、组织均匀、表面相当平滑等优点,因此被电子工业广泛地使用。

172 SSER(SYSTEM SOFT ERROR RA TE TEST)系统暂时性失效比率测试Soft Error 为所有发挥性组件之共有特性。对DRAM而言,每记忆细胞(Memory Cell)所存电荷(charge-to-sense)存在一刻开关的接面(junction),以空乏(depleted)的状态存在。当该细胞有高能粒子源(e.g. α-particle From molding compound),使所存电荷消失或减少到无法侦测时,该细胞便暂时消失。

173 STEP COVERAGE 阶梯覆盖STEP COVERAGE』系冷指芯片上各层次间各项薄膜、沉积材料等,当覆盖、跨越过底下层次时,由于底下层次高低起伏不一及有线条粗细变化,会造成此薄膜、沉积材料在产品部分区域(如高低起伏交界处)覆盖度会变差,此变差的程度,即为『STEP COVERAGE』一般系以厚度变化比表示:STEP COVERAGE =厚度最薄处/厚度最厚处此比例越接近1越佳,反之越差,正常言均应达50﹪以上。

174 STEPPER 步进式对准机1. 定义:Stepper(步进式对准机)系Stepprojection aligner 之简称。Stepper与Project aligner原理类似,只是将每片芯片分为20~60次曝光完成。Stepper

使用自动对准,不但迅速、精确,且可使用计算机计算、补偿。对准方式可分为Global、Die by Die、Advanced Global Alignment,此三种方式均可补偿因芯片形变造成之对准不良(如Run in/Run out)。Stepper亦可按缩影比例,分为1X、5X、10X三种。以最常见之5X为例,光罩上一条5u之直线,曝在芯片上,仅1μ而已。

175 SURFACE STA TES 表面状态 1.定义:表面状态是介在Si-SiO2接口的政电荷,也叫做Interface States。形成表面状态的原因,是作氧化步骤时Si会从表面移去而与O2反应。当氧化停止时,有些离子Si会留在靠近接口处。这些为完全键结的Si离子会沿着表面形成一条正电荷QSS。电荷大小决定于下列因素:氧化速度、后续热处理步骤及Crystal Orientation。在{111}表面,良好的氧化步骤下,其表面状态密度约为5×10 10 charges/㎝2(i.e.Qs s=5×1010q)。而对于{100}的表面状态密度约为{111}表面的1/3。

176 SWR(SPECIAL WORK REQUEST)SWR为特殊工作要求单。生产线为了区划正常流程芯片和工程实验芯片,将工程师依规定申请实验的芯片批称为SWR Lot,通常SWR Lot是用来解决制程问题,或评估新机器、制程而试作的芯片。

177 TARGET 靶一般用在金属溅镀(SPUTTERING)也就是以某种材料致造成各种形状,因此『靶』当作金属薄膜溅镀之来源。

178 TDDB(TIME DEPENDENT DIELECTRIC BREAKDOWN)介电质层崩贵的时间依存性利用介电质崩溃时间(Time to Breakdown)TBD与外加电场(电压)的线性模型,作加速测试(Accelerated Test),对产品(介电质)寿命(Life Time)作一估算。TBD α e –βEox ..........(1)AF = e –β(Eext –Eop) ......(2)Life Time = T-50 * AF (3)

179 TECN(TEMPORARY ENGINEERING CHANGE NOTICE)临时性制程变更通知随时工程变更通知(ECN)为工程师为了广泛收集资料,或暂时解决制程问题,而做的制程变更,此一临时性的变更将注明有效期限,以利生产作业。

180 TEOS(TETRAETHYLOR THOSILICA TE)四乙基氧化硅 1. 化学式:Si (OC2 H5)4,与常温下伟业体态。2. 用途:与经化学反应后,可生成一层二氧化硅,在IC里通常被当作绝缘层使用。3. 反应方式:- 高温低压分解反应- 高温加入触某媒分解反应- 电浆促进分解反应

181 THRESHOLD VILTAGE 临界电压定义:当我们在MOS晶体管之源极(Source)和汲极(Drain)加一个固定偏压后,再开始调整闸极(Gate)对基质(Substrate)的电压,当闸极电压超过某一个值之后,源极和汲极就会产生电流而导通,则我们就称此时的闸极电压称为临界电压(Threshold V oltage)。NMOS晶体管的临界电压相对于基质为正。PMOS晶体管的临界电压相对于基质为负。一般在制程上我们会影响临界电压的因素主要有二:A闸极氧化层厚度:Gate Oxide越厚,则VT(绝对值)越高。B基质渗杂的浓度:VT值入Dose 越高,则VT越高。

182 THROUGH PUT 产量 1. 定义:Through Put为单位工时之产出量,例如某机器每小时生产100片,则称其Through Put为100片/每小时。如果每天运作21小时,则每天的Through Put为2100片/天。IC工业系许多昂贵且精密的设备投资,故必须充分利用,维持生产的顺畅,发挥其最大的效能。故高的Through Put为我们评估机器设备的一项很重要的因素之一。除了设备上发挥其最大产能外,必须要配合人为的力量:如流程安排、故障排除、…等,亦即必须“人机一体”才能发挥生产的整体效益,达到最高的生产力。

183 TMP(TI MEMORY PROTOTYPE,TMS-X TI MEMORY STANDARD PRODUCT)TI 记忆产品样品(原型),TI内存标准产品在TI的产品出货控制(Productor Outgoing Control)中,以Qualification(资格审定)为期里程碑:(1) Qual以前:均为TMP产品。(2) Qual以后:分为TMS-A,TMS-B,TMS-C及Special,其可靠度保证。

184 TOX 氧化层厚度TOX系THICKNESS OF OXIDE之缩写,即一般所谓氧化层厚

化学常用英文缩写词

14 化学常用缩写词(Abbreviation) A a = active;activity 活性;活度 a = atto- 渺;阿(托);微微微[10-18) A = absorbance 吸光度 A = ampere 安培(电) AA = aminoacid analyzer 氨基酸分析器 AA = atomic absorption 原子吸收(法) AAD = atomic absorption detector 原子吸收检测器 AAS = atomic absorption spectrometry 原子吸收光谱法 A.A.S.= alkyl aryl sulphonate 磺酸烷基芳基酯 ABCD = automatic basellne drift correction 自动基线漂移校正 abnml = abnormal 反常的;异常的 abr.= abridged;abridg(e)ment 节略的;摘要 ahs.= absolute 绝对的 ahs.= absorption 吸收 ahs.E = absolute error 绝对误差 ABS = acrylonitrile-butadlene-styrene ABS树脂;丙烯睛-丁二烯-苯乙烯共聚物ABS = alkylbenzene sulfonate 烷基苯磺酸盐 abs.visc = absolute viscosity 绝对粘度 ac.= acid 酸 ac.= active;activity 活性;活度 ac.a.;AC.A.= acetic acid 乙酸 a.c.;A.C.= alternating current 交流(电) A.Ch.S.= American Chemical Society 美国化学学会 ACI = allowable concentration Index 容许浓度指数 acid.= acidification;acidified;acidify 酸化;酸化丁的 ACS;A.C.S.= American Chemical Society 美国化学学会 act.= active ;activity 活性;活度 act.std = actual standard 现行标准 activ.= activated 活性的 AD = automatic detection 自动检测 ADC = ascending-descending chromatographv 升降色谱(法) addl;addnl = additional 附加的 addn-(l)= addition(al)加入;加合;加成;附加的 ADI = acceptable daily intake 日容许摄入量 adj.= adjustment 校准;调节 ADP = adenosine diphosphate 腺苷二磷酸

最新半导体器件基础测试题

第一章 半导体器件基础测试题(高三) 姓名 班次 分数 一、选择题 1、N 型半导体是在本征半导体中加入下列 ___________ 物质而形成的。 A 、电子; B 、空穴; C 、三价元素; D 、五价元素。 2、在掺杂后的半导体中,其导电能力的大小的说法正确的是 A 、掺杂的工艺; B 、杂质的浓度: C 、温度; D 、晶体的缺陷。 3、晶体三极管用于放大的条件,下列说法正确的是 _______________ 。 A 、发射结正偏、集电结反偏; B 、发射结正偏、集电结正偏; C 、发射结反偏、集电结正偏; D 、发射结反偏、集电结反偏; 4、晶体三极管的截止条件,下列说法正确的是 _____________________ 。 A 、发射结正偏、集电结反偏; B 、发射结正偏、集电结正偏; C 、发射结反偏、集电结正偏; D 、发射结反偏、集电结反偏; 5、晶体三极管的饱和条件,下列说法正确的是 A 、发射结正偏、集电结反偏; C 、发射结反偏、集电结正偏; 6、理想二极管组成的电路如下图所示,其 A 、一 12V ; B 、一 6V ; C 、+6V ; D 、+12V 。 7、要使普通二极管导通,下列说法正确的是 __________________ 。 A 、运用它的反向特性; B 、锗管使用在反向击穿区; C 、硅管使用反向区域,而锗管使用正向区域; D 、都使用正向区域。 8、对于用万用表测量二极管时,下列做法正确的是 _______________________ A 、 用万用表的R X 100或R X 1000的欧姆,黑棒接正极,红棒接负极,指针偏转; B 、 用万用表的R X 10K 的欧姆,黑棒接正极,红棒接负极,指针偏转; C 、 用万用表的R X 100或R X 1000的欧姆,红棒接正极,黑棒接负极,指针偏转; D 、用万用表的R X 10,黑棒接正极,红棒接负极,指针偏转; 9、电路如下图所示,则 A 、B 两点的电压正确的是 ________________ B 、发射结正偏、集电结正偏; D 、发射结反偏、集电结反偏; AB 两端的电压是 _____________

半导体工艺英语名词解释

半导体工艺英语名词解释 CMP CMP 是哪三个英文单词的缩写 答:Chemical Mechanical Polishing (化学机械研磨) CMP是哪家公司发明的 答:CMP是IBM在八十年代发明的。 简述CMP的工作原理 答:化学机械研磨是把芯片放在旋转的研磨垫(pad)上,再加一定的压力,用化学研磨液(slurry)来研磨的。为什幺要实现芯片的平坦化 答:当今电子元器件的集成度越来越高,例如奔腾IV就集成了四千多万个晶体管,要使这些晶体管能够正常工作,就需要对每一个晶体管加一定的电压或电流,这就需要引线来将如此多的晶体管连接起来,但是将这幺多的晶体管连接起来,平面布线是不可能的,只能够立体布线或者多层布线。在制造这些连线的过程中,层与层之间会变得不平以至不能多层迭加。用CMP来实现平坦化,使多层布线成为了可能。 CMP在什幺线宽下使用 答:CMP在微米以下的制程要用到。 什幺是研磨速率(removal rate) 答:研磨速率是指单位时间内研磨膜厚度的变化。 研磨液(slurry)的组成是什幺 答:研磨液是由研磨颗粒(abrasive particles),以及能对被研磨膜起化学反应的化学溶液组成。 为什幺研磨垫(Pad)上有一些沟槽(groove) 答:研磨垫上的沟槽是用来使研磨液在研磨垫上达到均匀分布,使得研磨后芯片上的膜厚达到均匀。 为什幺要对研磨垫进行功能恢复(conditioning) 答:研磨垫在研磨一段时间后,就有一些研磨颗粒和研磨下来的膜的残留物留在研磨垫上和沟道内,这些都会影响研磨液在研磨垫的分布,从而影响研磨的均匀性。 什幺是blanket wafer 什幺是pattern wafer 答:blanket wafer 是指无图形的芯片。pattern wafer 是指有图形的芯片。

半导体器件参数(精)

《党政领导干部选拔任用工作条例》知识测试题(二) 姓名:单位: 职务:得分: 一、填空题(每题1分,共20分): 1、《党政领导干部选拔任用工作条例》于年月发布。 2、《党政领导干部选拔任用工作条例》是我们党规范选拔任用干部工作的一个重要法规,内容极为丰富,共有章条。 3、干部的四化是指革命化、知识化、年轻化、专业化。 4、,按照干部管理权限履行选拔任用党政领导干部的职责,负责《条例》的组织实施。 5、党政领导班子成员一般应当从后备干部中选拔。 6、民主推荐部门领导,本部门人数较少的,可以由全体人员参加。 7、党政机关部分专业性较强的领导职务实行聘任制△I称微分电阻 RBB---8、政协领导成员候选人的推荐和协商提名,按照RE---政协章程和有关规定办理。 Rs(rs----串联电阻 Rth----热阻 结到环境的热阻

动态电阻 本机关单位或本系统 r δ---衰减电阻 r(th--- Ta---环境温度 Tc---壳温 td---延迟时间 、对决定任用的干部,由党委(党组)指定专人同本人 tg---电路换向关断时间 12 Tj---和不同领导职务的职责要求,全面考察其德能勤绩廉toff---。 tr---上升时间13、民主推荐包括反向恢复时间 ts---存储时间和温度补偿二极管的贮成温度 p---发光峰值波长 △λ η---

15、考察中了解到的考察对象的表现情况,一般由考察组向VB---反向峰值击穿电压 Vc---整流输入电压 VB2B1---基极间电压 VBE10---发射极与第一基极反向电压 VEB---饱和压降 VFM---最大正向压降(正向峰值电压) 、正向压降(正向直流电压) △政府、断态重复峰值电压 VGT---门极触发电压 VGD---17、人民代表大会的临时党组织、人大常委会党组和人大常委会组成人员及人大代表中的党员,应当认真贯彻党委推荐意见 VGRM---门极反向峰值电压,带头(AV 履行职责交流输入电压 最大输出平均电压

[英语常见缩写词整理]常见的的英语缩写大全

[英语常见缩写词整理]常见的的英语缩写大全 AD,A.DAnno Domini(=in the year of the) Lord;since Christ wasborn 公元 A.M.,a.m.ante meridiem(before noon)上午,午前 Apr. April 四月 Aug.August八月 Ave.avenue林荫道,大街 BABachelor of Arts文科学士 BC,B.C.before Christ公元前 BS,BScBachelor of Science理科学士 C°,Centigrade摄氏度 cubic centimeter立方厘米

CDpact disk光盘,激光唱片 cf.confer(=pare)试比较;参看 cmcentimeter厘米 Co.pany公司 c/ocare of由…转交 cp.pare比较 Dec.December十二月 dept.,Dept.department部,司,局,系 Dr.doctor博士;医生 e.g.exempli gratia(=for example)例如 esp.especially尤其是

et al.et alia(=and others)其他的 etc.et cetera(=and the rest)等等 FFahrenheit华氏的 Feb.February二月 ftfoot,feet英尺 g,gm.gram克 GMTGreenwich Mean Time格林威治时间 hr.hour小时 IDidentification card __ i.e.id est(=that is)那就是,即 in.inch英寸

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

常用半导体器件

《模拟电子技术基础》 (教案与讲稿) 任课教师:谭华 院系:桂林电子科技大学信息科技学院电子工程系 授课班级:2008电子信息专业本科1、2班 授课时间:2009年9月21日------2009年12月23日每周学时:4学时 授课教材:《模拟电子技术基础》(第4版) 清华大学电子学教研组童诗白华成英主编 高教出版社 2009

第一章常用半导体器件 本章内容简介 半导体二极管是由一个PN结构成的半导体器件,在电子电路有广泛的应用。本章在简要地介绍半导体的基本知识后,主要讨论了半导体器件的核心环节——PN 结。在此基础上,还将介绍半导体二极管的结构、工作原理,特性曲线、主要参数以及二极管基本电路及其分析方法与应用。最后对齐纳二极管、变容二极管和光电子器件的特性与应用也给予简要的介绍。 (一)主要内容: ?半导体的基本知识 ?PN结的形成及特点,半导体二极管的结构、特性、参数、模型及应用电 路 (二)基本要求: ?了解半导体材料的基本结构及PN结的形成 ?掌握PN结的单向导电工作原理 ?了解二极管(包括稳压管)的V-I特性及主要性能指标 (三)教学要点: ?从半导体材料的基本结构及PN结的形成入手,重点介绍PN结的单向导 电工作原理、 ?二极管的V-I特性及主要性能指标 1.1 半导体的基本知识 1.1.1 半导体材料 根据物体导电能力(电阻率)的不同,来划分导体、绝缘体和半导体。导电性能介于导体与绝缘体之间材料,我们称之为半导体。在电子器件中,常用的半导体材料有:元素半导体,如硅(Si)、锗(Ge)等;化合物半导体,如砷化镓(GaAs)等;以及掺杂或制成其它化合物半导体材料,如硼(B)、磷(P)、锢(In)和锑(Sb)等。其中硅是最常用的一种半导体材料。 半导体有以下特点: 1.半导体的导电能力介于导体与绝缘体之间 2.半导体受外界光和热的刺激时,其导电能力将会有显著变化。 3.在纯净半导体中,加入微量的杂质,其导电能力会急剧增强。

半导体名词解释

ACTIVE AREA主动区(工作区) 主动晶体管(ACTIVE FRANSISTOR)被制造的区域即所谓的主动区(active area)在标准之MOS制造过程中ACTIVE AREA是由,一层氮化硅光罩及等接氮化硅蚀刻之后的局部特区氧化(LOCOS OXIDATION)所形成的,而由于利用到局部场氧化之步骤.所以Active AREA 会受到鸟嘴(BIRD’S BEAK)之影响而比原先之氮化硅光罩所定义的区域来得小以长0.6UM 之场区氧化而言大概会有O.5 UM之BIRD'S BEAK存在也就是说ACTIVE AREA比原在之氮化硅光罩定义之区域小O.5UM Acetone丙酮 1.丙碗是有机溶剂的一种,分子式为CH30HCH3 2.性质:无色,具剌激性薄荷臭味之液体 3.用途:在FAB内之用途,主要在于黄光室内正光阻之清洗、擦拭 4﹒毒性:对神经中枢具中度麻醉性,对皮肤粘膜具轻微毒性,长期接触会引起皮肤炎,吸入过量之丙酮蒸气会刺激鼻、眼结膜、咽喉粘膜、甚至引起头痛、念心、呕吐、目眩、意识不明等。 5﹒允许浓度:1000ppm ADI显影后检查 After Developing Inspection之缩写 目的:检查黄光室制程;光阻覆盖→对准→曝光弓显影。发现缺点后,如覆盖不良、显影不良‥‥等即予修改(Rework)﹒以维产品良率、品质。 方法:利用目检、显微镜为之。 AEI蚀刻后检查 1. AEI 即After Etching Inspection,在蚀刻制程光阻去除、前反光阻去除后,分别对产品实施主检或抽样检查。 2. AEI之目的有四: 2-1提高产品良率,避免不良品外流。 2-2达到品质的一致性和制程之重复性。 2-3显示制程能力之指针。 2-4防止异常扩大,节省成本 3. 通常AEI检查出来之不良品,非必要时很少做修改。因为重去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加。生产成本增高,以及良率降低之缺点。Air Shower空气洗尘室 进入洁净室之前,须穿无尘衣,因在外面更衣室之故﹒无尘衣上沽着尘埃,故进洁净室之前﹒须经空气喷洗机将尘埃吹掉。 Alignment对准 目的:在IC的制造过程中,必须经过6至10次左右的对准、曝光来定义电路图案,对准就是要将层层图案精确地定义显像在芯片上面。

常见英语缩略词大全

APEC英文Asian-Pacific Economic Cooperation的缩写,即亚太经济合作组织。 AQ英文Adversity Quotient的缩写,逆境商数,指一个人处理逆境的能力。 ATM英文Automatic Teller Machine的缩写,即自动柜员机,又称自动取款机。 BBS英文Bulletin Board System的缩写,公告牌系统或电子公告板。 BSS英文Base Station System的缩写,即基站系统,指移动通信中的空中接口部分。 CARM英文Chinese Association of Rehabilitation Medicine的缩写,即中国康复医学会。 CATV英文Cable Television的缩写,即有线电视。 CBD英文Central Business District的缩写,又称中央商务区。 CCEL英文China Certification Committee for Environment Labelling Production的缩写,即中国环境标志产品认证委员会。 CD-ROM英文Compact Disk-Read Only Memory的缩写,即光盘只读存储器,也就是人们常说的光驱。CEO英文Chief Executive Officer的缩写,即首席执行官。 CET英文College English Test的缩写,即大学英语测试。 CFO英文Chief Finance Officer的缩写,即首席财务主管。 CGFNS英文Commission on Graduates of Foreign Nuring Schools的缩写,即外国护士毕业生委员会。CGO英文Chief Government Officer的缩写,即首席沟通主管,主要负责与政府机构之间的交流与沟通。ChinaNET英文Chian Network的缩写,即中国公用计算机网,它是政府建立的计算机网络之一,与之相对应的有中国教育网等。 CI英文Corporate Identity的缩写,即企业形象统一战略,指对企业的独特性和目标加以明确化和统一化,并在企业内外公众之中为建立这种印象而开展的有组织的活动。 CID英文Central Information District的缩写,即中央信息区。 CIO英文Chief Information Officer的缩写,即首席信息主管,国内某些机构也称信息中心主任。它是企业信息化发展到一定程度的必然产物,基本上代表企业的信息化程度。 CPA英文Certified Public Accountant的缩写,即注册会计师,指依法取得注册资金会计师证书并接受委托,从事审计和会计咨询、会计服务业务的执业人员。 CPI英文Consumer Price Index的缩写,即全国居民消费价格指数。 CPU英文Central Processing Unit的缩写,又称微处理器,由集成度较高的集成电路芯片组成的大规模和超大规模集成电路,包括运算器和控制器两部分。 CS英文customer satisfaction的缩写,指企业为了使顾客完全满意自己的产品或服务,综合、客观地测定顾客的满意程度,并根据调查分析结果,促使整个企业一体来改善产品、服务及企业 文化的一种经营战略。 CSCL英文computer-supported Collaborative Learning的缩写,是一种利用计算机技术(尤其是多媒体网络技术)来辅助和支持的协作学习。 CTO英文Chief Technology Officer的缩写,即首席技术主管。

半导体名词解释

1. 何谓PIE? PIE的主要工作是什幺? 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2. 200mm,300mm Wafer 代表何意义? 答:8吋硅片(wafer)直径为 200mm , 直径为 300mm硅片即12吋. 3. 目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺?未来北京的Fab4(四厂)采用多少mm的wafer工艺? 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达0.13um工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4. 我们为何需要300mm? 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低 200→300 面积增加2.25倍,芯片数目约增加2.5倍 5. 所谓的0.13 um 的工艺能力(technology)代表的是什幺意义? 答:是指工厂的工艺能力可以达到0.13 um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6. 从0.35um->0.25um->0.18um->0.15um->0.13um 的technology改变又代表的是什幺意义? 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从0.35um -> 0.25um -> 0.18um ->

0.15um -> 0.13um 代表着每一个阶段工艺能力的提升。 7. 一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓 N, P-type wafer? 答:N-type wafer 是指掺杂 negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂 positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module)? 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9. 一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义? 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般0.15um 的逻辑产品为1P6M( 1层的Poly和6层的metal)。而 光罩层数(mask layer)代表硅片的制造必需经过几次的PHOTO(光刻). 10. Wafer下线的第一道步骤是形成start oxide 和zero layer? 其中start oxide 的目的是为何? 答:①不希望有机成分的光刻胶直接碰触Si 表面。 ②在laser刻号过程中,亦可避免被产生的粉尘污染。 11. 为何需要zero layer? 答:芯片的工艺由许多不同层次堆栈而成的, 各层次之间以zero layer当做对准的基准。 12. Laser mark是什幺用途? Wafer ID 又代表什幺意义? 答:Laser mark 是用来刻wafer ID, Wafer ID 就如同硅片的身份证一样,一个ID代表一片硅片的身份。 13. 一般硅片的制造(wafer process)过程包含哪些主要部分? 答:①前段(frontend)-元器件(device)的制造过程。 ②后段(backend)-金属导线的连接及护层(passivation) 14. 前段(frontend)的工艺大致可区分为那些部份? 答:①STI的形成(定义AA区域及器件间的隔离)

公司部门英文缩写简称大全

总公司Head Office 分公司Branch Office 营业部Business Office 人事部Personnel Department 人力资源部Human Resources Department 总务部General Affairs Department 财务部General Accounting Department 销售部Sales Department 促销部Sales Promotion Department 国际部International Department 出口部Export Department 进口部Import Department 公共关系Public Relations Department 广告部Advertising Department 企划部Planning Department 产品开发部Product Development Department 研发部Research and Development Department(R&D) 秘书室Secretarial Pool 采购部Purchasing Department 工程部Engineering Department 行政部Admin. Department 人力资源部HR Department 市场部Marketing Department 技术部Technolog Department 客服部Service Department 行政部: Administration 财务部Financial Department 总经理室、Direcotor, or President 副总经理室、Deputy Director, or Vice president 总经办、General Deparment 采购部、Purchase & Order Department 工程部、Engineering Deparment 研发部、Research Deparment 生产部、Productive Department 销售部、Sales Deparment 广东业务部、GD Branch Deparment 无线事业部、Wireless Industry Department 拓展部Business Expending Department 物供部、Supply Department B&D business and development 业务拓展部Marketing 市场部 Sales 销售部 HR 人力资源部 Account 会计部

常用英文缩略词

常用英文缩略词 1.表示各种组织或机构的缩略词 2.表示各种系统的缩略词 BBS(Bulletin Board System)电子布告栏系统或(Bulletin Board Service)电子布告服务 GPS(Global Position System)全球定位系统 GSM(Global System for Mobile Communications)全球移动通信系统 CIMS(Computer Integrated Manufacturing System)计算机集成制造系统 DOS(Disc Operating System)磁盘操作系统 ITS(Intelligent Transportation System)智能交通系统 NMD(National Missile Defense)国家导弹防御系统 TMDE(Test,Measure and Diagnostic Equipment)试验、测量与诊断设备 NASDAQ(National Association of Securities Dealers Automated Quotation)纳斯达克,<美>全国证券交易商自动报价系统协会 3.有关职务或学位的缩略词 CEO(Chief Executive Officer)首席执行官 CFO(Chief Finance Officer)首席财务官 CIO(Chief Information Officer)首席信息官 COO(Chief Operating Officer)首席运行官 CTO(Chief Technology Officer)首席技术官 CPA(Certified Public Accountant)注册会计师 MBA(Master of Business Administration)工商管理硕士 MPA(Master of Public Administration)公共管理硕士 4.有关金融方面的缩略词 ATM(Automated Teller Machine)自动取款(出纳)机 CBD(Central Business District)中央商务区 GDP(Gross Domestic Product)国内生产总值 GNP(Gross National Product)国民生产总值 5.有关考试方面的缩略词 CET(College English Test)大学英语等级考试 GRE(Graduate Record Examination)美国研究生入学考试PETS(Public English Test System)全国英语等级考试 6.其他方面的缩略词 AIDS(Acquired Immune Deficiency Syndrome)获得性免疫功能丧失综合症,即艾滋病 AM(Amplitude Modulation)调幅 APC(aspirin,phenacetin and caffeine;compound aspirin;heat-relieving and pain-killing medicine consisting of aspirin,phenacetin and caffeine)复方阿斯匹林phenacetin[医]非那西汀;乙酰对氨苯乙醚(解热镇痛剂)API(Air Pollution Index)空气污染指数 CDMA(Code Division Multiple Access)码分多址,一种无线电发射和接受方式 CD(Compact Disc)激光唱盘 CIP(Cataloguing in Publication)预编目录 DIY(Do It Yourself)指自己动手装电脑,缝制衣服,做贺卡等 DVD(Digital Video Disc)数字化视频光盘 EMS(Express Mail Service)邮政特快专递 EQ(Emotional Quotient)情商 IQ(Intelligence Quotient)智商 IT(Information Technology)信息技术 OA(Office Automation)办公自动化 OEM(Original Equipment Manufacturer)原始设备制造商PC(Personal Computer)个人计算机 PT(Particular Transfer)特别转让 SOHO(Small Office Home Office)小型家居办公室 SOS(Save Our Souls;radio signal once used universally to appeal for help esp.by a ship or boat;urgent request for help from sb.in trouble)国际上曾通用的紧急呼救信号,也用于一般的求救或求助 ST(Special Treatment)特别处理 VIP(Very Important Person)要人 VOD(Video on Demand)视频点播 7、聊天常用英语缩略词 ASAP As soon as possible尽快 BF Boyfriend男朋友 BTW By the way随便说一下 BBL Be back later稍后回来 BRB Be right back很快回来 CU See you再见 CUL See you later下次再会 DIIK Damned if I known我真的不知道 DS Dunce smiley笨伯 FE For example举例 FTF Face to face面对面 FYI For your information供参考 GF girlfriend女朋友 IAE In any event无论如何 IC I see我明白 ILY I love you我爱你 IMHO In my humble opinion依愚人之见 IMO In my opinion依我所见 IOW In other words

半导体专业术语英语

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

英语常见缩写词整理

AD,A.DAnno Domini(=in the year of the) Lord;since Christ wasborn 公元 A.M.,a.m.ante meridiem(before noon)上午,午前 Apr. April 四月 Aug.August八月 Ave.avenue林荫道,大街 BABachelor of Arts文科学士 BC,B.C.before Christ公元前 BS,BScBachelor of Science理科学士 C°,Centigrade摄氏度 cccubic centimeter立方厘米 CDcompact disk光盘,激光唱片 cf.confer(=compare)试比较;参看 cmcentimeter厘米 https://www.wendangku.net/doc/cb16099339.html,pany公司

c/ocare of由…转交 https://www.wendangku.net/doc/cb16099339.html,pare比较 Dec.December十二月 dept.,Dept.department部,司,局,系Dr.doctor博士;医生 e.g.exempli gratia(=for example)例如esp.especially尤其是 et al.et alia(=and others)其他的 etc.et cetera(=and the rest)等等FFahrenheit华氏的 Feb.February二月 ftfoot,feet英尺 g,gm.gram克 GMTGreenwich Mean Time格林威治时间hr.hour小时

IDidentification card身份证i.e.id est(=that is)那就是,即in.inch英寸 Inc.incorporated股份有限的Jan.January一月 Jr.junior小(用于姓名后) Jul.July七月 Jun.June六月 kg.kilogram千克,公斤km.kilometer千米,公里 l. liter升 lb.libra(=pound)磅 Ltd.limited有限的,股份有限m.meter米 MAMaster of Arts文科硕士

半导体名词解释

1)Acetone 丙酮 丙酮是有机溶剂的一种,分子式为CH3COCH3 性质:无色,具剌激性薄荷臭味的液体 用途:在FAB内的用途,主要在于黄光室内正光阻的清洗、擦拭 毒性:对神经中枢具中度麻醉性,对皮肤粘膜具轻微毒性,长期接触会引起皮肤炎,吸入过量的丙酮蒸气会刺激鼻、眼结膜、咽喉粘膜、甚至引起头痛、恶心、呕吐、目眩、意 识不明等。 允许浓度:1000ppm 2)Active Area 主动区域 MOS核心区域,即源,汲,闸极区域 3)AEI蚀刻后检查 (1)AEI 即After Etching Inspection,在蚀刻制程光阻去除前和光阻去除后,分别对产品实施主检或抽样检查。 (2)AEI的目的有四: 提高产品良率,避免不良品外流。 达到品质的一致性和制程的重复性。 显示制程能力的指标。 防止异常扩大,节省成本 (3)通常AEI检查出来的不良品,非必要时很少做修改。因为除去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加。生产成本增高,以及良率降低的缺点。4)Al-Cu-Si 铝硅铜 金属溅镀时所使用的原料名称,通常是称为T arget,其成份为0.5%铜,1%硅及98.5%铝,一般制程通常是使用99%铝1%硅.后来为了金属电荷迁移现象(Electromigration) 故渗加 0.5%铜降低金属电荷迁移 5)Alkaline Ions 碱金属雕子 如Na+,K+,破坏氧化层完整性,增加漏电密度,减小少子寿命,引起移动电荷,影响器件稳定性。其主要来源是:炉管的石英材料,制程气体及光阻等不纯物。

6)Alloy 合金 半导体制程在蚀刻出金属连线后,必须加强Al与SiO2间interface的紧密度,故进行Alloy步骤,以450℃作用30min,增加Al与Si的紧密程度,防止Al层的剥落及减少欧姆接触的电阻值,使R C的值尽量减少。 7)Aluminum 铝 一种金属元素,质地坚韧而轻,有延展性,容易导电。普遍用于半导体器件间的金属连线,但因其易引起spike及Electromigration,故实际中会在其中加入适量的Cu或Si 8)Anneal 回火 又称退火:也叫热处理,集成电路工艺中所有的在氮气等不活泼气氛中进行的热处理过程都可以称为退火。 a)激活杂质:使不在晶格位置上的离子运动到晶格位置,以便具有电活性,产生自由载 流子,起到杂质的作用。 b)消除损伤:离子植入后回火是为了修复因高能加速的离子直接打入芯片而产生的损毁 区(进入底材中的离子行进中将硅原子撞离原来的晶格位置,致使晶体的特性改变)。 而这种损毁区,经过回火的热处理后即可复原。这种热处理的回火功能可利用其温度、 时间差异来控制全部或局部的活化植入离子的功能 c)氧化制程中的回火主要是为了降低界面态电荷,降低SiO2的晶格结构 退火方式: ?炉退火 ?快速退火:脉冲激光法、扫描电子束、连续波激光、非相干宽带频光源(如卤光灯、电弧灯、石墨加热器、红外设备等) 9)Angstrom 埃(?) 是一个长度单位,1?=10-10米,其大小为1公尺的佰亿分之一,约人的头发宽度的伍拾万分之

常用英文缩写大全(全)

企业各职位英文缩写: GM(General Manager)总经理 VP(Vice President)副总裁 FVP(First Vice President)第一副总裁 AVP(Assistant Vice President)副总裁助理 CEO(Chief Executive Officer)首席执行官,类似总经理、总裁,是企业的法人代表。 COO(Chief Operations Officer)首席运营官,类似常务总经理 CFO(Chief Financial Officer)首席财务官,类似财务总经理 CIO(Chief Information Officer)首席信息官,主管企业信息的收集和发布 CTO(Chief technology officer)首席技术官类似总工程师 HRD(Human Resource Director)人力资源总监 OD(Operations Director)运营总监 MD(Marketing Director)市场总监 OM(Operations Manager)运作经理 PM(Production Manager)生产经理 (Product Manager)产品经理 其他: CAO: Art 艺术总监 CBO: Business 商务总监 CCO: Content 内容总监 CDO: Development 开发总监 CGO: Gonverment 政府关系 CHO: Human resource 人事总监 CJO: Jet 把营运指标都加一个或多个零使公司市值像火箭般上升的人 CKO: Knowledge 知识总监 CLO: Labour 工会主席 CMO: Marketing 市场总监 CNO: Negotiation 首席谈判代表CPO: Public relation 公关总监 CQO: Quality control 质控总监 CRO: Research 研究总监 CSO: Sales 销售总监 CUO: User 客户总监 CVO: Valuation 评估总监 CWO: Women 妇联主席 CXO: 什么都可以管的不管部部长 CYO: Yes 什么都点头的老好人 CZO: 现在排最后,等待接班的太子 常用聊天英语缩写

相关文档