文档库 最新最全的文档下载
当前位置:文档库 › SEC中的全数字锁相环的分析及设计

SEC中的全数字锁相环的分析及设计

SEC中的全数字锁相环的分析及设计
SEC中的全数字锁相环的分析及设计

SEC 中的全数字锁相环的分析及设计

张继勇1,王爱国2

(1.武汉邮电科学研究院,湖北武汉 430074;2.烽火通信科技股份有限公司,湖北武汉 430074)

摘要:文章首先介绍了全数字锁相环(ADPLL )的基本结构和工作原理,并进行了数学建模,计算了其主要的参数指标;然后,

针对SD H 设备时钟(SEC )设计了一种切实可行的低抖动ADPLL 的电路结构,并对其各个组成部分进行了具体的电路分析和设计,通过微机适当配置,可以使该设计的结果得到优化;最后,通过现场可编程门阵列(FP GA )验证,给出了测试结果。关键词:全数字锁相环;数控振荡器;分频器;抖动中图分类号:TN911.8 文献标志码:A 文章编号:100528788(2006)0620022202

Analysis and design of all digital phase 2locked loops in SEC

Zhang Jiyong ,W ang Aiguo

(1.Wuhan Research Institute of Post and Telecommunications ,Wuhan 430074,China ;2.Fiber Home Telecommunication Technologies Co.,Ltd.,Wuhan 430074,China )

Abstract :In this paper ,the basic structure and operating principle of ADPLL (All Digital Phase 2Locked Loop )are first intro 2duced ,which is followed by the analyses of its mathematic model and the calculation of its main parameters.Then ,a feasible low 2jitter ADPLL circuit structure for SEC (SD H equipment clock )is designed and specific circuit analysis of and designs for each part of ADPLL made ,which is optimized by appropriate CPU configurations.Finally ,this circuit is verified by FP GA and the test result given.

K ey w ords :ADPLL ;Digital 2Controlled Oscillator (DCO );divider ;jitter

随着同步数字体系(SD H )的大量应用及其速率的不断提高,SD H 设备时钟(SEC )越来越重要,其性能将直接影响SD H 网络的稳定性和可靠性。锁相环作为SEC 的核心器件,主要是跟踪网同步的定时基准时钟,并削弱定时基准时钟在传输过程中产生的抖动和漂移等损伤,使SEC 能够满足ITU 2T G.813协议的要求。当所有外部定时基准信号都不可用时,可以通过内部晶体振荡器来实现高稳定的时钟输出。与传统的模拟电路实现的锁相环(PLL )相比,本文设计的全数字锁相环(ADPLL )调整精度更高,且不受温度和电压影响,环路带宽和中心频率编程可调,而且具有功耗低、印制电路板(PCB )面积小等特点。除此之外,ADPLL 还缓解和消除了模拟环路中压控振荡器(VCO )的非线性以及环路中使用运算放大器和晶体管后出现的饱和及运算放大器和鉴相器的零漂等对环路性能的影响。本设计可以制成专用集成电路(ASIC )芯片,作为一个标准的功能模块应用到SEC 中,以进一步提高系统的性能。

1 数字锁相环的基本结构

数字锁相环是由鉴频鉴相器(PFD )、环路滤波

器(L F )、数控振荡器(DCO )和分频器M 这4个部

分组成的反馈回路。其方框图如图1所示。

图1 数字锁相环方框图

图中,鉴频鉴相器的功能就是对输入的基准信

号和反馈的本地信号进行相位比较,输出相位差。环路滤波器采用数字滤波器,其功能是抑制环路中的输入噪声,消除鉴相器输出相差信号中的高频成分,保持环路的性能稳定,并且对环路的校正速度起调节作用。数控振荡器通过响应数字滤波器输出的控制信号来调节输出频率,达到跟踪输入基准时钟的目的。

2 数字锁相环的数学模型

本设计的数学模型可用图2来表示。图中,θ

1

图2 数字锁相环的数学模型为输入锁相环的

基准参考时钟信号的相位;θo 为数字锁相环输出

的时钟信号的相位;θ2为输出时钟信号经过M 分频得到的

收稿日期:2006205223

作者简介:张继勇(19812),男,湖北武汉人,硕士,主要研究方向为光通信系统中的集成电路设计。

2

22006年 第6期(总第138期)

光通信研究

STUD Y ON OP TICAL COMMUNICA TIONS

2006

(Sum.No.138)

本地反馈信号的相位;K PD 、K L P 、K DCO 和K M 分别是鉴相器模块、环路滤波器模块、数控振荡器模块和分

频模块的传递函数。

如图1,假设输入基准信号的频率为f in ,晶体振荡器输出的时钟信号的频率为f cr ,DCO 输出的时钟信号的频率为f out ,令f cr =A f out ,f out =B f in ,则锁相环在被锁信号频率附近的局部动态数学模型的传递函数为[1]

H (s )=2AB 2f in K s +

2AB 2f 2

in

K

s 2

+2AB 2f in KM s +

2AB 2f 2in KM

,

(1)

二阶系统自然频率为

ωn =

2AB 2

KM

f in ,(2)

二阶系统阻尼系数为

ξ=

AB

2

2KM

。(3)

3 数字锁相环的电路设计

我们设计的数字锁相环的原理图如图3所示。

图3 数字锁相环的原理图

3.1 鉴相器

数字鉴相器的功能就是对输入基准信号和反馈的本地信号之间的相位差进行比较,以数字的形式将相位差表示出来并输出。本设计中采用的PFD 结构[2]具有结构简单、“死区”小、频率敏感性高和鉴相增益高等特点。3.2 数字滤波器本设计中的数字滤波器采用K 模可控计数器,它等效于一个低通滤波器。而模数K 的选择则与低通带宽、入锁时间和对噪声的抑制程度有关。取的K 值越大,等效的低通带宽越小,环路对输入噪声的抑制作用就越强,但锁定时间却增长。反之,取的K 值越小,环路的等效带宽越大,对输入噪声的抑制作用

随之降低,而环路的锁定时间也减小。在理想情况下,输入基准时钟信号与晶体振荡器输出时钟没有

频偏。由于输入噪声为随机噪声,引起的相位差服从高斯分布(在零点的概率最大),因此,相位差的累积和趋近于零,达不到可逆计数器的调整门限,数字滤波器无控制脉冲输出,环路立即进入同步锁定状态。这就消除了由输入噪声所引起的误操作,也就是说数字滤波器有效地消除了噪声对环路的干扰作用。在输入基准信号与晶体振荡器输出时钟存在频偏的情况下,数字滤波器会周期性地输出控制脉冲,对DCO 输出时钟的频率相位进行相应调整,使环路进入锁定状态。为了使数字滤波器具有较强的抑制噪声的能力,并能使环路快速入锁,必须合理选择K 值的大小。一旦环路进入锁定状态,图3中的数字滤波器就将控制信号Carry 和Borrow 置低,同时把Lock 置高,表明时钟已锁定。3.3 DCO 的设计

DCO 是ADPLL 的关键器件,它的功能是受数

字滤波器的超前/滞后信号控制,调整输出时钟的频

率。为了避免因DCO 频率调整而引入较大抖动,本设计采用半脉冲调整技术的DCO 结构。DCO 工作原理仿真波形如图4所示。当没有滞后信号neg 或超前信号pos 输入时,DCO 就对晶振产生的高频时钟信号ckh 进行固定的P 分频,本设计中取P =4,得到输出信号f out ;如果有一个po s 为高时,则在4分频的基础上,在输出时钟f out 的下降沿处增加半个ckh 脉冲,相当于对ckh 进行了3.5倍的分频;反之,当有一个neg 为高时,将在输出时钟信号f out 的下降沿处扣除半个脉冲的宽度,即对ckh 进行了4.5倍的分频。与传统的整脉冲调整DCO 相比,这里由于ckh 的频率很高,而且每次调整的只有ckh 的半个脉宽,因此大大降低了DCO 的调整抖动,并且使鉴相器相位差的精度控制在高频晶振时钟的半个周期之内。

图4 DCO 工作原理仿真波形

半脉冲调整技术的关键就是ckh 的上升沿和下

降沿分别控制两个计数器Counter1和Counter2(见

(下转第41页)

张继勇等: SEC 中的全数字锁相环的分析及设计

图3 单项测试CDMA2000调制信道功率界面

4 结束语

利用虚拟仪器技术,则在原有仪器设备的基础

上,只需增加少许的硬件,就可以构成直放站的自动化测试系统,这将极大地提高生产效率,降低成本,减少测试过程中人为造成的误差,提高产品出厂的

合格率,同时,还可使研发人员从大量繁琐的测试工作中解放出来,把更多的精力投入到研发工作中。

参考文献:

[1] 听雨轩工作室。Agilent V EE 虚拟仪器工程设计与开

发[M ]。北京:国防工业出版社,2004。

[2] 赵会兵。虚拟仪器技术规范与系统集成[M ]。北京:

北方交通大学出版社,2003。

[3] Agilent Technologies.Introduction to Test 2System

Design (AN 146521)pub.no.598829747EN [DB/OL ].http ://https://www.wendangku.net/doc/d410595465.html,/litweb/pdf/598829747EN.pdf ,2004203.

[4] Agilent Technologies.Understanding Drivers and Di 2

rect I/O (AN 146523)pub.no.598920110EN [DB/OL ].http ://https://www.wendangku.net/doc/d410595465.html,/litweb/pdf/598920110EN.pdf ,2004209.

(上接第23页)

图5),经过这两个计数器进行分频可得到时钟信号C K 1和C K 2,它们的相位差等于ckh 的半个脉冲宽度。这两个时钟信号C K 1、C K 2经过相位判决和输出选择单元后将会选择一个正确的信号输出,根据输入的pos 信号和neg 信号来调整DCO 输出频率。为了避免DCO 输出的时钟信号带有毛刺,本设计选择在Counter1和Counter2输出时钟C K 1、C K 2的状态都为低电平时,对C K 1、C K 2信号进行转换并选择输出。具体的电路结构如图5所示

图5 DCO 系统结构

3.4 前向分频器Q 和反馈分频M

通过调整前向分频器Q 值和反馈分频M 值的大小,可以优化总体信号抖动的衰减[3]。在本设计中,为了减小环路带宽,降低抖动,取较大的M 值及较小的Q 值,调节分频器N 值能得到不同的中心频率(见图3)。其中,DCO 输出时钟频率与输入时钟频率的关系为

f out =M N f in /Q 。(4)

4 试验结果

本设计中的ADPLL 用Verilog 语言编程,使用ModelSim 6.1b 软件进行了仿真,并通过Actel 公

司的Libero IDE 6.3版本进行设计综合,最后采用A PA450系列的现场可编程门阵列(FP GA )予以实现。本设计通过选择在SEC 工作环境中的8k Hz 线路时钟上鉴相,DCO 输出时钟为9.76M Hz ,晶振频率为77.76M Hz 。示波器结果显示,数字锁相环输出时钟的抖动约为8.3ns ,相当于0.084U I 。

5 结束语

本文一方面讨论了SEC 中的ADPLL 的原理和数学模型,另一方面给出了一种现实可行的电路方案,并通过了FP GA 验证。本设计通过对前向分频器、反馈分频器、数字滤波器等的参数的设定和半脉宽调制技术的采用,不但使ADPLL 输出的中心频率可调,而且使跟踪时钟的抖动性能大为改善。

参考文献:

[1] 庞浩。一种新型的全数字锁相环[J ]。中国电机工程

学报,2003,23(2):39240。[2] Best Roland E.Phase 2Locked Loop s design ,simula 2

tion and application [M ].北京:清华大学出版社,2003。[3] David Green ,Daigo Katagiri.优化可使信号抖动实现

最大衰减的PLL 内部参数[DB/OL ]。http ://https://www.wendangku.net/doc/d410595465.html, ,2003201201。

程 翔等: 基于虚拟仪器的直放站自动化测试系统的设计

锁相环设计

锁相环测量简述 一、锁相环路的基本工作原理 锁相环路是一个相位反馈自动控制系统。它由以下三个基本部件组成:鉴相器(PD)、环路滤波器(LPF)和压控振荡器(VCO)。其组成方框图如下所示。 锁相环路的基本方框图 锁相环可用来实现输出和输入两个信号之间的相位同步。当没有基准(参考)输入信号时,环路滤波器的输出为零(或为某一固定值)。这时,压控振荡器按其固有频率fv进行自由振荡。当有频率为fR的参考信号输入时,uR 和uv同时加到鉴相器进行鉴相。 如果fR和fv相差不大,鉴相器对uR和uv进行鉴相的结果,输出一个与uR和uv的相位差成正比的误差电压ud,再经过环路滤波器滤去ud中的高频成分,输出一个控制电压uc,uc将使压控振荡器的频率fv(和相位)发生变化,朝着参考输入信号的频率靠拢,最后使fv= fR,环路锁定。 环路一旦进入锁定状态后,压控振荡器的输出信号与环路的输入信号(参考信号)之间只有一个固定的稳态相位差,而没有频差存在。环路的锁定状态是对输入信号的频率和相位不变而言的,若环路输入的是频率和相位不断变化的信号,而且环路能使压控振荡器的频率和相位不断地跟踪输入信号的频率和相位变化,则这时环路所处的状态称为跟踪状态。锁相环路在锁定后,不仅能使输出信号频率与输入信号频率严格同步,而且还具有频率跟踪特性,所以它在电子技术的各个领域中都有着广泛的应用。 二、环路部件的测量 I.鉴相器特性的测量 鉴相器的主要性能可用鉴相特性曲线和鉴相灵敏度来表示。 鉴相特性曲线是表示鉴相器的输出电压Vd与两个输入比相信号之间相位差θe的关系曲线,其测量方法如右图所示,在测量精度要求不高的情况下,可用双踪示波器来代替相位计。

全数字锁相环毕业设计终稿

安徽大学 本科毕业论文(设计、创作) 题目:全数字锁相环的研究与设计 学生姓名:郑义强学号:P3******* 院(系):电子信息工程学院专业:微电子 入学时间:2011年9月 导师姓名:吴秀龙职称/学位:教授/博士 导师所在单位:安徽大学电子信息工程学院 完成时间:2015 年5月

全数字锁相环的研究与设计 摘要 锁相环路的设计和应用是当今反馈控制技术领域关注的热点,它的结构五花八门,但捕获时间短,抗干扰能力强一直是衡量锁相环性能好坏的一个标准。本文是在阅读了大量国内外关于全数字锁相环的技术文献的基础上,总结了锁相环的发展现状与技术水平,深入分析了全数字锁相环的基本结构与基本原理,利用VHDL语言,采用自上而下的设计方法,设计了一款全数字锁相环.本文主要描述了一种设计一阶全数字锁相环的方法,首先分析了课题研究的意义、锁相环的发展历程研究现状,然后描述了全数字锁相环的各个组成部件,并且详细分析了锁相环鉴相器、变模可逆计数器、加减脉冲电路、除H计数器和除N计数器各个模块的工作原理。接着我们使用了VHDL语句来完成了鉴相器、数字滤波器和数字振荡器的设计,并且分别使用仿真工具MAX+plus II逐个验证各个模块的功能。最后,将各个模块整合起来,建立了一个一阶全数字锁相环的电路,利用仿真工具MAX+plus II 验证了它的功能的能否实现,仿真结果与理论分析基本符合。 关键词:全数字锁相环;数字滤波器;数字振荡器;锁定时间

Design and research of ALL Digital Phase-Locked Loop Abstract The design and application of phase-locked loop is the focus of attention in the field of feedback control technology today, phase- locked loop has played a very important and unique role in variety of applications. such as the radar, measurement,communications, etc. All-digital phase-locked loop has its unique advantages. Its structure is varied, but short capture time, small synchronization error, excellent anti-interference ability is the standard measure of performance of a phase-locked loop. On the basis of reading a lot of DPLL technology literature of domestic and abroad, this article summed up the present situation and the development level of phase-locked loop technology, analysis the basic structure and principle of all-digital phase-locked loop in-depth, designed a quick all-digital phase-locked loop by using VHDL language and top-down design approach. In this brief, we presented a way of designing a first-order ALL Digital Phase-Locked Loop (ADPLL) first analyzes the significance of research, the development course of phase-locked loop current research status, and then describes the component parts of all digital phase-locked loop, and detailed analysis of the phase lock loop phase discriminator, reversible counter change mould, add and subtract pulse circuit, in addition to H counter and divide N working principle of each module. Then we use the VHDL statements to complete the phase discriminator, digital filter and the design of the digital oscillator, and using the simulation tool of MAX + plus II one by one to verify the function of each module. Finally, the various modules together, established a first-order digital phase-locked loop circuit, using the simulation tool of MAX + plus II verify the realization of its function, the simulation results and principle Keywords: All Digital Phase-Locked Loop; Digital filter; Digital oscillator, Locking time

毕业设计论文:PLL锁相环电路

摘要 随着通信及电子系统的飞速发展,促使集成锁相环和数字锁相环突飞猛进。本次毕业设计的主要任务是,采用0.18μm CMOS 工艺,设计实现一个基于改进的鉴频鉴相器,压控振荡器,环路滤波器的全集成的CMOS PLL 锁相环电路,设计重点为PLL 锁相环电路的版图设计,设计工具为Laker。 本论文介绍了PLL 锁相环电路的基本原理以及其完整的版图设计结果。本次设计表明,采用该方案实现的锁相环电路主要功能工作正常,初步达到设计要求。 关键词:PLL 锁相环电路,鉴频鉴相器,压控振荡器,环路滤波器,版图设计, 0.18μm CMOS 工艺 Abstract

With the development of the communications and electronic systems, the technology of the integrated PLL and digital PLL develops rapidly. The main task of graduation is to design and realize a fully integrated CMOS PLL circuit which is based on an improved phase detector, VCO, loop filter using the 0.18 μ m CMOS technolog. yThe design focus on the layout of the PLL circuit, and the design tools is the Laker. This paper introduces the basic principles of PLL phase locked loop circuit and its comprehensive layout results. This design shows that the program implemented by the main function of PLL circuit is working well, and it meets the design requirements. Key words: PLL phase locked loop circuits, popularly used phase detectors, discrimination, VCO loop filter, layout design, 0.18

PLL(锁相环)电路原理及设计 [收藏]

PLL(锁相环)电路原理及设计[收藏] PLL(锁相环)电路原理及设计 在通信机等所使用的振荡电路,其所要求的频率范围要广,且频率的稳定度要高。无论多好的LC振荡电路,其频率的稳定度,都无法与晶体振荡电路比较。但是,晶体振荡器除了可以使用数字电路分频以外,其频率几乎无法改变。如果采用PLL(锁相环)(相位锁栓回路,PhaseLockedLoop)技术,除了可以得到较广的振荡频率范围以外,其频率的稳定度也很高。此一技术常使用于收音机,电视机的调谐电路上,以及CD唱盘上的电路。 一PLL(锁相环)电路的基本构成 PLL(锁相环)电路的概要 图1所示的为PLL(锁相环)电路的基本方块图。此所使用的基准信号为稳定度很高的晶体振荡电路信号。 此一电路的中心为相位此较器。相位比较器可以将基准信号与VCO (Voltage Controlled Oscillator……电压控制振荡器)的相位比较。如果此两个信号之间有相位差存在时,便会产生相位误差信号输出。 (将VCO的振荡频率与基准频率比较,利用反馈电路的控制,使两者的频率为一致。) 利用此一误差信号,可以控制VCO的振荡频率,使VCO的相位与基准信号的相位(也即是频率)成为一致。 PLL(锁相环)可以使高频率振荡器的频率与基准频率的整数倍的频率相一致。由于,基准振荡器大多为使用晶体振荡器,因此,高频率振荡器的频率稳定度可以与晶体振荡器相比美。 只要是基准频率的整数倍,便可以得到各种频率的输出。 从图1的PLL(锁相环)基本构成中,可以知道其是由VCO,相位比较器,基准频率振荡器,回路滤波器所构成。在此,假设基准振荡器的频率为fr,VCO的频率为fo。 在此一电路中,假设frgt;fo时,也即是VC0的振荡频率fo比fr低时。此时的相位比较器的输出PD 会如图2所示,产生正脉波信号,使VCO的振荡器频率提高。相反地,如果frlt;fo时,会产生负脉波信号。

锁相环电路设计

锁相环的原理 2007-01-23 00:24 1.锁相环的基本组成 许多电子设备要正常工作,通常需要外部的 输入信号与部的振荡信号同步,利用锁相环 路就可以实现这个目的。 锁相环路是一种反馈控制电路,简称锁相环(PLL)。锁相环的特点是:利用外部输入的参考信号控制环路部振荡信号的频率和相位。 因锁相环可以实现输出信号频率对输入信号频率的自动跟踪,所以锁相环通常用于闭环跟踪电路。锁相环在工作的过程中,当输出信号的频率与输入信号的频率相等时,输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住,这就是锁相环名称的由来。 锁相环通常由鉴相器(PD)、环路滤波器(LF)和压控振荡器(VCO)三部分组成,锁相环组成的原理框图如图8-4-1所示。 锁相环中的鉴相器又称为相位比较器,它的作用是检测输入信号和输出信号的相位差,并将检测出的相位差信号转换成u D(t)电压信号输出,该信号经低通滤波器滤波后形成压控振荡器的控制电压u C(t),对振荡器输出信号的频率实施控制。 2.锁相环的工作原理 锁相环中的鉴相器通常由模拟乘法器组成,利用模拟乘法器组成的鉴相器电路如图8-4-2所示。鉴相器的工作原理是:设外界输入的信号电压和压控振荡器输出的信号电压分别为: (8-4-1) (8-4-2) 式中的ω0为压控振荡器在输入控制电压为零或为直流电压时的振荡角频率,称为电路的固有振荡角频率。则模拟乘法器的输出电压u D为: 用低通滤波器LF将上式中的和频分量滤掉,剩下的差频分量作为压控振荡器的输入控制电压u C (t)。即u C(t)为: (8-4-3) 式中的ωi为输入信号的瞬时振荡角频率,θi(t)和θO(t)分别为输入信号和输出信号的瞬时位相,根据相量的关系可得瞬时频率和瞬时位相的关系为:

全数字锁相环的设计

全数字锁相环的设计 锁相环()技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环()与传统的模拟电路实现的相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需及转换。随着通讯技术、集成电路技术的飞速发展和系统芯片()的深入研究,必然会在其中得到更为广泛的应用。 这里介绍一种采用硬件描述语言设计的方案。 结构及工作原理 一阶的基本结构如图所示。主要由鉴相器、变模可逆计数器、脉冲加减电路和除计数器四部分构成。变模计数器和脉冲加减电路的时钟分别为和。这里是环路中心频率,一般情况下和都是的整数幂。本设计中两个时钟使用相同的系统时钟信号。 图数字锁相环基本结构图 鉴相器 常用的鉴相器有两种类型:异或门()鉴相器和边沿控制鉴相器(),本设计中采用异或门()鉴相器。异或门鉴相器比较输入信号相位和输出信号相位之间的相位差ФФФ,并输出误差信号作为变模可逆计数器的计数方向信号。环路锁定时,为一占空比的方波,此时的绝对相为差为°。因此异或门鉴相器相位差极限为±°。异或门鉴相器工作波形如图所示。

图异或门鉴相器在环路锁定及极限相位差下的波形 变模可逆计数器 变模可逆计数器消除了鉴相器输出的相位差信号中的高频成分,保证环路的性能稳定。变模可逆计数器根据相差信号来进行加减运算。当为低电平时,计数器进行加运算,如果相加的结果达到预设的模值,则输出一个进位脉冲信号给脉冲加减电路;当为高电平时,计数器进行减运算,如果结果为零,则输出一个借位脉冲信号给脉冲加减电路。 脉冲加减电路 脉冲加减电路实现了对输入信号频率和相位的跟踪和调整,最终使输出信号锁定在输入信号的频率和信号上,工作波形如图所示。 图脉冲加减电路工作波形 除计数器

锁相环电路

手机射频部分的关键电路----锁相环电路 锁相坏电路是一种用来消除频率误差为目的反馈控制电路,目前市场销售的手机基本上都是采用这种电路来控制射频电路中的压控振荡器。使其输出准确稳定的振荡频率。如锁相坏(PLL)电路出现故障将导致本振的频率输出不准确,则导致手机无信号。 目前通信终端设备中对频率的稳定采用的是频率合成CSYN技术。频率合成的基本方法有三种:第一种直接频率合成;第二种锁相频率合成(PLL);第三种直接数字频率合成(DDS)。由于锁相频率合成技术在电路设计方面(简单),成本方面控制灵敏度方面,频谱纯净度方面等。都要胜于直接频率合成,与直接数字频率合成。所以被移动通信终端设备广范采用。它在手机电路中的作用是控制压控振荡器输出的频率,相位与基准信号的频率,相位保持同步。 锁相坏电路的构成与工作原理: 1、构成:它是由鉴相器(PD)低通滤波器(LPF) 压控振荡器(VCO)三部分组成。 鉴相器:它是一个相位比较器。基准频率信号和压控振荡器输出的取样频率在其内部 进行相位比较,输出误差电压。 低通滤波器:是将鉴相器输出的锁相电压进行滤波,滤除电流中的干扰和高频成分。得到一个纯净的直流控制电压。 压控振荡器:产生手机所要的某一高频频率。 (注:SYNEN、SYNCLK、SYNDATA来自CPU控制分频器,对本振信号进行N次分频)。 当VCO产生手机所须的某一高频频率。一路去混频管,另一路反馈给锁相环,中的分频器进行N次分频。在这里为什么要进行N次分频呢?首先要说明一下基准频率与VCO振荡取样频率在鉴相要满足3个条件。 ①频率相同。②幅度相同。③相位不同。为了满足鉴相条件,所以在电路中设置了分 频器。VCO振荡频率取样信号送入分频器完成N次分频后,得到一个与基准频率相位不同,但频率

全数字锁相环的设计

全数字锁相环的设计 锁相环(PLL)技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环(DPLL)与传统的模拟电路实现的PLL相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需A/D及D/A转换。随着通讯技术、集成电路技术的飞速发展和系统芯片(SoC)的深入研究,DPLL必然会在其中得到更为广泛的应用。 这里介绍一种采用VERILOG硬件描述语言设计DPLL的方案。 DPLL结构及工作原理 一阶DPLL的基本结构如图1所示。主要由鉴相器、K变模可逆计数器、脉冲加减电路和除N计数器四部分构成。K变模计数器和脉冲加减电路的时钟分别为Mfc和2Nfc。这里fc是环路中心频率,一般情况下M和N都是2的整数幂。本设计中两个时钟使用相同的系统时钟信号。 图1 数字锁相环基本结构图 鉴相器 常用的鉴相器有两种类型:异或门(XOR)鉴相器和边沿控制鉴相器(ECPD),本设计中采用异或门(XOR)鉴相器。异或门鉴相器比较输入信号Fin相位和输出信号Fout相位之间的相位差Фe=Фin-Фout,并输出误差信号Se作为K变模可逆计数器的计数方向信号。环路锁定时,Se为一占空比50%的方波,此时的绝对相为差为90°。因此异或门鉴相器相位差极限为±90°。异或门鉴相器工作波形如图2所示。

图2 异或门鉴相器在环路锁定及极限相位差下的波形 K变模可逆计数器 K变模可逆计数器消除了鉴相器输出的相位差信号Se中的高频成分,保证环路的性能稳定。K变模可逆计数器根据相差信号Se来进行加减运算。当Se 为低电平时,计数器进行加运算,如果相加的结果达到预设的模值,则输出一个进位脉冲信号CARRY给脉冲加减电路;当Se为高电平时,计数器进行减运算,如果结果为零,则输出一个借位脉冲信号BORROW给脉冲加减电路。 脉冲加减电路 脉冲加减电路实现了对输入信号频率和相位的跟踪和调整,最终使输出信号锁定在输入信号的频率和信号上,工作波形如图3所示。 图3 脉冲加减电路工作波形 除N计数器

基于数字锁相环的同步倍频器设计

一、主要内容与要求 1.掌握应用电子设计自动化(EDA)技术设计电子系统的方法; 2.采用超高速集成电路硬件描述语言(Verilog)设计一种基于数字锁相环的倍频器; 3.重点设计数字环路滤波器和数控振荡器; 4.利用计算机仿真技术进行验证; 5.阅读并翻译3000单词以上的英文资料。 二、主要技术要求 n倍频;2 1.系统能够实现输出信号为输入信号的2.改变系统参数可以得到不同的倍频信号,且始终能够使输出信号与输入信号保持同步; 3.用Verilog语言编写设计程序,利用计算机仿真予以验证。 三、研究方法 1.在查阅大量技术资料的基础上,进行设计方案的比较; 2.确定全数字锁相环系统的设计方案; 3.采用自顶向下的设计方法,进行系统模块的划分,并确定用Verilog设计各功能模块的算法; 4.编写系统设计程序,并进行仿真验证,经过反复修改使电路系统达到设计要求。 四、工作进度安排 1.2012年12月学习掌握Verilog设计技术,收集和整理与毕业设计有关的资料; 2.2013年1月在分析和整理资料的基础上写开题报告,确定设计方案和研究技术路线; 3.2013年3月完成环路滤波器和数控振荡器的设计与仿真; 4.2013年4月完成全数字倍频器的系统设计与仿真; 5.2013年5月撰写毕业设计说明书和准备毕业答辩稿; 6.2013年6月初毕业答辩。 指导教师 南华大学本科生毕业设计(论文)开题报告

设计(论文)题目基于数字锁相环的同步倍频器设计 省部级课题设计(论文)题目来源起止时工程设计2012.12013.6 设计(论文)题目类 一、设计(论文)依据及研究意义 锁相(phase-locked loop是一种反馈控制电路,作用是实现设备外部输入信号与内部的震荡信号同步其基本组成包括鉴相 phasedetector环路滤波器loopfilter)和压控振荡器 voltagecontroloscillato) 倍频器frequencymultiplie)是使输出信号频率等于输入信号频率整倍的电路利用非线性电路产生高次谐波或者利用频率控制回路都可以构成倍器倍频器也可由一个压控振荡器和控制环路构成它的控制电路产生一控制压,使压控振荡器的振荡频率严格地锁定在输入频f的倍乘fnf 因为非线性变换过程中产生的大量谐波使输出信号相位不稳定所以其构的倍频器,倍频噪声较大。倍频次数越高,倍频噪声就越大,使倍频器的应用到限制在要求倍频噪声较小的设备中可采用根据锁相环原理构成的锁相环步倍频器 模拟锁相环主要由相位参考提取电路压控振荡器相位比较器控制电等组成压控振荡器输出的是与需要频率很接近的等幅信号把它和由相位参提取电路从信号中提取的参考信号同时送入相位比较器用比较形成的误差通控制电路使压控振荡器的频率向减小误差绝对值的方向连续变化实现锁相而达到同步 数字锁相环主要由相位参考提取电路、晶体振荡器、分频器、相位比较器脉冲补抹门等组成分频器输出的信号频率与所需频率十分接近把它和从信中提取的相位参考信号同时送入相位比较器比较结果示出本地频率高了时就过补抹门抹掉一个输入分频器的脉冲相当于本地振荡频率降低相反若示本地频率低了时就在分频器输入端的两个输入脉冲间插入一个脉冲相当于本振荡频率上升,从而达到同步。.

锁相环应用电路仿真

高频电子线路实训报告锁相环路仿真设计 专业 学生姓名 学号 2015 年 6 月24日

锁相环应用电路仿真 锁相环是一种自动相位控制系统,广泛应用于通信、雷达、导航以及各种测量仪器中。锁相环及其应用电路是“通信电子电路”课程教学中的重点容,但比较抽象,还涉及到新的概念和复杂的数学分析。因此无论是教师授课还是学生理解都比较困难。为此,我们将基于Multisim的锁相环应用仿真电路引入课堂教学和课后实验。实践证明,这些仿真电路可以帮助学生对相关容的理解,并为进行系统设计工作打下良好的基础。锁相环的应用电路很多,这里介绍锁相环调频、鉴频及锁相接收机的Multisim仿真电路。 1.锁相环的仿真模型 首先在Multisim软件中构造锁相环的仿真模型(图1)。基本的锁相环由鉴相器(PD)、环路滤波器(I P)和压控振荡器(VCO)三个部分组成。图中,鉴相器由模拟乘法器A 实现,压控振荡器为V3,环路滤波器由R1、C1构成。环路滤波器的输出通过R2、R3串联分压后加到 压控振荡器的输入端,直流电源V2用来调整压控振荡器的中心频率。仿真模型中,增加R2、R3及的目的就是为了便于调整压控振荡器的中心频率。 图1 锁相环的仿真模型 2.锁相接收机的仿真电路 直接调频电路的振荡器中心频率稳定度较低,而采用晶体振荡器的调频电路,其调频围又太窄。采用锁相环的调频器可以解决这个矛盾。其结构原理如图2所示。

图2 锁相环调频电路的原理框图 实现锁相调频的条件是调制信号的频谱要处于低通滤波器通带之外,也就是说,锁相环路只对慢变化的频率偏移有响应,使压控振荡器的中心频率锁定在稳定度很高的晶振频率上。而随着输人调制信号的变化,振荡频率可以发生很大偏移。 图3 锁相环调频的仿真电路 根据图2建立的仿真电路如图3所示。图中,设置压控振荡器V1在控制电压为0时,输出频率为0;控制电压为5V时,输出频率为50kHz。这样,实际上就选定了压控振荡器的中心频率为25kHz,为此设定直流电压V3为2.5V。调制电压V4通过电阻Rs接到VCO的输人端,R实际上是作为调制信号源V4的阻,这样可以保证加到VCO输人端的电压是低通滤波器的输出电压和调制电压之和,从而满足了原理图的要求。本电路中,相加功能也可以通过一个加法器来完成,但电路要变得相对复杂一些。 VCO输出波形和输人调制电压的关系如图4所示。由图可见,输出信号频率随着输人信号的变化而变化,从而实现了调频功能。

基于FPGA的数字锁相环的设计

目录 第一章绪论..................................... 错误!未定义书签。 1.1锁相环技术的发展及研究现状................................................ 错误!未定义书签。 1.2课题研究意义 ........................................................................... 错误!未定义书签。 1.3本课题的设计内容.................................................................... 错误!未定义书签。第二章 FPGA的设计基础............................ 错误!未定义书签。 2.1硬件设计语言-Verilog HDL.................................................. 错误!未定义书签。 2.2 FPGA的设计流程 ...................................................................... 错误!未定义书签。第三章锁相环的原理. (2) 3.1全数字锁相环基本结构 (3) 3.2全数字锁相环的工作原理 (4) 第四章数字锁相环的设计 (5) 4.1基于FPGA的数字锁相环总体设计方案 (5) 4.2数字鉴相器的设计 (6) 4.3 K变模可逆计数器的设计 (7) 4.4脉冲加减器的设计 (10) 4.5 N分频器的设计 (12) 第五章实验仿真与调试 (14) 5.1数字锁相环的仿真 (14) 5.2数字锁相环的系统实验 (15) 结束语 (19) 参考文献 (20) 附录 (21)

全数字锁相环毕业设计终稿

大学 本科毕业论文(设计、创作) 题目:全数字锁相环的研究与设计 学生:义强学号:P3******* 院(系):电子信息工程学院专业:微电子 入学时间:2011 年9 月 导师:吴秀龙职称/学位:教授/博士 导师所在单位:大学电子信息工程学院 完成时间:2015 年 5 月

全数字锁相环的研究与设计 摘要 锁相环路的设计和应用是当今反馈控制技术领域关注的热点,它的结构五花八门,但捕获时间短,抗干扰能力强一直是衡量锁相环性能好坏的一个标准。本文是在阅读了大量国外关于全数字锁相环的技术文献的基础上,总结了锁相环的发展现状与技术水平,深入分析了全数字锁相环的基本结构与基本原理,利用VHDL语言,采用自上而下的设计方法,设计了一款全数字锁相环.本文主要描述了一种设计一阶全数字锁相环的方法,首先分析了课题研究的意义、锁相环的发展历程研究现状,然后描述了全数字锁相环的各个组成部件,并且详细分析了锁相环鉴相器、变模可逆计数器、加减脉冲电路、除H计数器和除N计数器各个模块的工作原理。接着我们使用了VHDL语句来完成了鉴相器、数字滤波器和数字振荡器的设计,并且分别使用仿真工具MAX+plus II逐个验证各个模块的功能。最后,将各个模块整合起来,建立了一个一阶全数字锁相环的电路,利用仿真工具MAX+plus II 验证了它的功能的能否实现,仿真结果与理论分析基本符合。 关键词:全数字锁相环;数字滤波器;数字振荡器;锁定时间

Design and research of ALL Digital Phase-Locked Loop Abstract The design and application of phase-locked loop is the focus of attention in the field of feedback control technology today, phase- locked loop has played a very important and unique role in variety of applications. such as the radar, measurement,communications, etc. All-digital phase-locked loop has its unique advantages. Its structure is varied, but short capture time, small synchronization error, excellent anti-interference ability is the standard measure of performance of a phase-locked loop. On the basis of reading a lot of DPLL technology literature of domestic and abroad, this article summed up the present situation and the development level of phase-locked loop technology, analysis the basic structure and principle of all-digital phase-locked loop in-depth, designed a quick all-digital phase-locked loop by using VHDL language and top-down design approach. In this brief, we presented a way of designing a first-order ALL Digital Phase-Locked Loop (ADPLL) first analyzes the significance of research, the development course of phase-locked loop current research status, and then describes the component parts of all digital phase-locked loop, and detailed analysis of the phase lock loop phase discriminator, reversible counter change mould, add and subtract pulse circuit, in addition to H counter and divide N working principle of each module. Then we use the VHDL statements to complete the phase discriminator, digital filter and the design of the digital oscillator, and using the simulation tool of MAX + plus II one by one to verify the function of each module. Finally, the various modules together, established a first-order digital phase-locked loop circuit, using the simulation tool of MAX + plus II verify the realization of its function, the simulation results and principle Keywords: All Digital Phase-Locked Loop; Digital filter; Digital oscillator, Locking time

基于Matlab的数字锁相环的仿真设计

基于Matlab的数字锁相环的仿真设计 摘要:锁相环是一个能够跟踪输入信号相位变化的闭环自动跟踪系统。它广泛应用于无线电的各个领域,并且,现在已成为通信、雷达、导航、电子仪器等设备中不可缺少的一部分。然而由于锁相环设计的复杂性,用SPICE对锁相环进行仿真,数据量大,仿真时间长,而且需进行多次仿真以提取设计参数,设计周期长。本文借助于Matlab中Simulink仿真软件的灵活性、直观性,在Simulink 中利用仿真模块搭建了全数字锁相环的仿真模型。先借助模拟锁相环直观形象、易于理解的特点,通过锁相环在频率合成方面的应用,先对模拟锁相环进行了仿真,对锁相环的工作原理进行了形象的说明。在模拟锁相环的基础上,重新利用仿真模块搭建了全数字锁相环的仿真模型,通过仿真达到了设计的目的,验证了此全数字锁相环完全能达到模拟锁相环的各项功能要求。 关键词:锁相环,压控振荡器,锁定,Simulink,频率合成,仿真模块 1引言 1932年法国的H.de Bellescize提出同步捡波的理论,首次公开发表了对锁相环路的描述。到1947年,锁相环路第一次应用于电视接收机的水平和垂直扫描的同步。到70年代,随着集成电路技术的发展,逐渐出现集成的环路部件、通用单片集成锁相环路以及多种专用集成锁相环路,锁相环路逐渐变成了一个成本低、使用简便的多功能组件,为锁相技术在更广泛的领域应用提供了条件。锁相环独特的优良性能使其得到了广泛的应用,其被普遍应用于调制解调、频率合成、电视机彩色副载波提取、FM立体声解码等。随着数字技术的发展,相应出现了各种数字锁相环,它们在数字信号传输的载波同步、位同步、相干解调等方面发挥了重要的作用。而Matlab强大的数据处理和图形显示功能以及简单易学的语言形式使Matlab在工程领域得到了非常广泛的应用,特别是在系统建模与仿真方面,Matlab已成为应用最广泛的动态系统仿真软件。利用MATLAB建模可以快速地对锁相环进行仿真进而缩短开发时间。 1.1选题背景与意义 Matlab是英文MATrix LABoratory(矩阵实验室)的缩写。1980年,时任美国新墨西哥大学计算机系主任的Cleve Moler教授在给学生讲授线性代数课程时,为使学生从繁重的数值计算中解放出来,用FORTRAN语言为学生编写了方便使用Linpack和Eispack的接口程序并命名为MATLAB,这便是MATLAB的雏形。经过几年的校际流

CMOS4046集成电路研究锁相环(PLL)的工作原理 毕业论文外文翻译

本实验要使用CMOS4046集成电路研究锁相环(PLL )的工作原理。电路包括两个不同的鉴相器和一个VCO 。另外还有一个齐纳二极管参考电压源用在供电调节中,在解调器输出中有一个缓冲电路。用户必须提供环路滤波器。4046具有高输入阻抗和低输出阻抗,容易选择外围元件。 注意事项 1. 本实验较为复杂,进入实验室之前,确认你已经弄懂了电路预计应该怎样工作。对某样东西还没有充分分析之前,不要去尝试制作它。在开始实验之前要通读本文。 2. 在实验第一部分得到的数据要用来完成实验的其它任务。所以要仔细对待这部分内容。 3. 小心操作4046芯片,CMOS 集成电路很容易损坏。避免静电释放,使用10k Ω电阻把信号发生器的输出耦合到PLL 。在关掉4046供电电源之前先关闭信号发生器,或者从信号输入端给整个电路供电。要避免将输出端对电源或对地短路,TTL 门电路可以容忍这种误操作但CMOS 不能(要注意松散的导线)。CMOS 输出也没有能力驱动电容负载。VSS 应该接地,VDD 应该接5V ,引脚5应该接地(否则VCO 被禁止)。 1 VCO 工作原理 阅读数据手册中的电路描述。VCO 常数(0K 单位为弧度/秒-伏)是工作频率 变化与输入电压(引脚9上)变化之比值。测量出0K ,即,画出输出频率关于 输入电压的曲线。确认数据范围要覆盖5kHz 到50kHz 。对于R1, R2 和C 的各种参数取值进行测量,确定0K 对于R1 ,R2 和C 是怎样的近似关系。测量VCO 输出的上升和下降时间,研究电容性负载的影响。 2 无源环路滤波器 无源环路滤波器位于鉴相器输出与VCO 输入之间。此滤波器对鉴相器输出中的高次谐波进行衰减,并控制环路的强度。通常用一个简单RC 滤波器就可以满足要求,这种设计能避免有源滤波器设计中固有的电平移动和输出限制的恼人问题。但另外一方面,有源滤波器可以提供更优越的性能。 2.1 相位比较器 首先来看一下4046的相位比较器II 的输出。该输出端是一个三态器件,这可以在环路锁定时减小波纹。与存在两倍基频拍频的情况不同,这里没有任何拍频。糟糕的方面是,当我们需要为环路建立一个框图时,D K 却不能很好地定义。当向上或向下驱动之一接通时,输出端表现为电压源。但是当输出端悬浮时,它实质上为一个电流源(一个0A 电流源)。因此D K 的值将依赖于给定的滤波器。考察图1。 图1 相位比较器II 的输出 图中当向上驱动器接通时,相位比较器输出为5PO v V =+,当向下驱动器接通时,0PO v V =,当相位比较器处在开路状态时,PO D v v =。我们可以求出输出的平均值:

锁相环设计与MATLAB仿真

本科毕业设计论文 题目锁相环设计与MATLAB仿真 _______________________________________ 专业名称电子科学与技术 学生姓名何鹏 指导教师李立欣 毕业时间2010年6月

毕业 任务书 一、题目 《锁相环设计与MATLAB 仿真》 二、指导思想和目的要求 在了解锁相环的基本工作原理的基础上,熟悉其构成及数学模型,在对锁相环有了充分的要了解后,运用MATLAB 仿真软件对其进行仿真。通过仿真看锁相环是否工作正常,参数指标是否合格来判断是否达到了仿真要求。 三、主要技术指标 1.锁相环的基本原理 2.锁相环工作期间是否经历了失锁、跟踪、捕获、锁定等四个状态。 3.锁定后平率相位是否平稳。 四、进度和要求 第3~5 周:查阅和整理资料文献,确定研究模型和研究方向; 第6~8 周:分析模型,找出其中的缺陷; 第9~11 周: 提出更容易实现的结构,对该结构具体分析; 第11~13 周:整理资料进行论文撰写、装订并翻译英文文献; 第14~15 周: 论文评阅,答辩准备,答辩 五、主要参考书及参考资料 Floyd M .Gardner,锁相环技术(第三版)姚剑清 译,人民邮电出版社,2007 Roland E.Best,锁相环设计、仿真与应用(第五版),李永明 等译,清华学出版社,2007.4 学生 ___________ 指导教师 ___________ 系主任 ___________ 设计 论文

目录 中文摘要 (3) 英文摘要 (4) 前言 (6) 第一章绪论 (7) 1.1 锁相环的发展及国内外研究现状 (7) 1.2 本文的主要内容组织 (9) 第二章锁相环的基本理论 (10) 2.1锁相环的工作原理 (11) 2.1.1鉴相器 (11) 2.1.2 低通滤波器 (13) 2.1.3 压控振荡器 (15) 2.2锁相环的工作状态 (15) 2.3锁相环的非线性工作性能分析 (17) 2.3.1跟踪性能 (18) 2.3.2捕获性能 (18) 2.3.3失锁状态 (19) 2.4锁相环的稳定性 (20) 2.5信号流程图 (21) 2.6锁相环的优良特性 (21) 2.7锁相环的应用 (22) 2.7.1锁相环在调制和解调中的应用 (22) 2.7.2锁相环在频率合成器中的应用 (23) 2.8本章小结 (23) 第三章锁相环的噪声分析 (24)

全数字锁相环设计1

全数字锁相环设计1 全数字锁相环设计 锁相的概念是在19世纪30年代提出的,而且很快在电子学和通信领域中 获得广泛应用。尽管基本锁相环的从开始出现几乎保持原样,但是使用不同的 技术制作及满足不同的应用要求,锁相环的实现对于特定的设计还是蛮大的挑战。 锁相环在通信、雷达、测量和自动化控制等领域应用极为广泛,已经成为 各种电子设备中必不可少的基本部件。随着电子技术向数字化方向发展,需要 采用数字方式实现信号的锁相处理。锁相环技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环(DPLL)与传统的模拟电路实现 的锁相环相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程 可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需A/D及 D/A转换。随着通讯技术、集成电路技术的飞速发展和系统芯片(SoC)的深入研究,全数字锁相环必然会在其中得到更为广泛的应用。因此,对全数字锁相环 的研究和应用得到了越来越多的关注。 传统的数字锁相环系统是希望通过采用具有低通特性的环路滤波器,获得 稳定的振荡控制数据。对于高阶全数字锁相环,其数字滤波器常常采用基于 DSP的运算电路。这种结构的锁相环,当环路带宽很窄时,环路滤波器的实现 将需要很大的电路量,这给专用集成电路的应用和片上系统SOC(system on chip)的设计带来一定困难。另一种类型的全数字锁相环是采用脉冲序列低通滤波计数电路作为环路滤波器,如随机徘徊序列滤波器、先N后M序列滤波器等。这些电路通过对鉴相模块产生的相位误差脉冲进行计数运算,获得可控振荡器 模块的振荡控制参数。由于脉冲序列低通滤波计数方法是一个比较复杂的非线 性处理过程,难以进行线性近似,因此,无法采用系统传递函数的分析方法确 定锁相环的设计参数。不能实现对高阶数字锁相环性能指标的解藕控制和分析,无法满足较高的应用需求。

相关文档