文档库 最新最全的文档下载
当前位置:文档库 › 电子科技大学数字电路期末考试样题完整版

电子科技大学数字电路期末考试样题完整版

电子科技大学数字电路期末考试样题完整版
电子科技大学数字电路期末考试样题完整版

电子科技大学数字电路

期末考试样题

HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

一、填空题

1.五个变量构成的所有最小项之和等于 ( )。

2.已知某数的二进制原码表示为 ( 110110) 2 , 则其对应的8-bit 补码表示为 ( )2。

3.已知∑=C B A F ,,)3,0(,则∑='C B A F ,,( )。

4.要使D 触发器按'*Q Q =工作,则D 触发器的输入D=( )。

5.用移位寄存器产生1101010序列,至少需要( )位的移位寄存器。

二、单项选择题:

1. 若要将一异或门当作反相器(非门)使用,则输入端A 、B 端的连接方式是( )。

A. A 或B 中有一个接“0”

B. A 或B 中有一个接“1”

C. A 和B 并联使用

D. 不能实现

2.组合电路的竞争冒险是由于( )引起的。

A. 电路不是最简

B. 电路有多个输出

C. 电路中使用不同的门电路

D. 电路中存在延时

3.某一逻辑函数真值表确定后,下面描述该函数逻辑功能的表达式中,具有唯一性的是( )。

A .该逻辑函数的最简与或式

B .该逻辑函数的积之和标准型

C .该逻辑函数的最简或与式

D .该逻辑函数的和之积式

4.若最简状态转换表中,状态数为n ,则所需状态变量数K 为 ( )的整数.

A .n K 2log =

B .n K 2log <

C . n K 2log ≥

D . n K 2log ≤

5.某计数器的状态转换图如图1所示,其该计数器的模为( )。

A . 八 B. 五 C. 四 D. 三

三、 组合电路分析:

1.求逻辑函数 Z Y X Y X Z X F ?'?+?+?'= 的最简积之和表达式。

2.已知逻辑函数∑=Z Y X F ,,)7,5,1(, 请写出该函数的标准和(最小项之和)表达式: 3.找出逻辑表达式X W Y W F ?+'?'=对应的电路的所有静态冒险。

四、组合电路设计:

1、试用一片三输入八输出译码器74X138和适当的与非门实现函数:

画出电路连接图。译码器如右图所示。

2、一个多路复用器,具有4个2位输入总线P 、Q 、R 、T ,3个选择输入端S2~S0根据表1选定4个输入总线中的一个来驱动2位输出总线Y 。如图2所示,可以使用一片74x153(四选一多路复用器)和一个码转换器实现该功能,试写出图2中“码转换器”对应的真值表和逻辑表达式。

1(21)C S S '=?,0

(10)C S S '=?

五、 时钟同步状态机设计:

1、 写出一个3位同步格雷(GRAY )码计数器的转移/输出

表:

表1

2、构造J-K触发器的应用表。已知某状态机的转移/输出表如表2所示,写出针对J-K触发器的激励/输出表。

表2:转移/输出表

Q1Q0 X

01 0001,010,0 0111,001,0 1101,100,0 1001,011,0

Q1*Q0*,Z

3、已知某状态机针对D 触发器的激励/输出表如表3所示,请导出最小成本激励方程和输出方程。

101D Q X Q X =?+?,010D Q Q X ''=??

六、时钟同步状态机分析:

1、已知电路如图3所示,写出电路的激励方程、转移方程并建立转移表

2、已知某时序电路的转移/输出表如表4所示,请画出与输入波形对应的输出Y 的波形图(设起始状态为Q 1Q 0=00)。

/输出表。

表4:转移/输出表

Q1Q0

A

表3:激励/输出表

Q1Q0

X

’Y ’

七、设计一个MEALY 型序列检测器,当且

仅当输入X 是1111或1101时,输出Z 为1

图。

比如:

X : 0 0 1 1 0 1 1 1 1 0 1Z : 0 0 0 0 0 1 0 0 1 0 1解:状态/输出表:

八、74x163为同步清零,同步计数的4位二进制计数器,利用74x163和集成多路选择器74x151构成的序列发生器电路如图5所示。

1)试分析当M=0和M=1时,电路中Q2Q1Q0的输出序列以及计数器分别工作在几进制。2)写出当M=0和M=1输出Y处产生的序列。

解:1)M=0时,Q2Q1Q0的输出序列为:000001010011100101110111 000,为八进制计数器;

M=1时,Q2Q1Q0的输出序列为: 001010011100101110111001,为七进制计数器。

M=1时,输出Y处产生的序列:1011010。

相关文档