文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术试题及答案解析1

数字电子技术试题及答案解析1

数字电子技术试题及答案解析1
数字电子技术试题及答案解析1

一、单项选择题(每小题1分,共15分)

在下列每小题的四个备选答案中选出一个正确的答案,并将其字母标号填入题干的括号内。 1.一位十六进制数可以用多少位二进制数来表示?( C )

A . 1

B . 2

C . 4

D . 16

2.以下电路中常用于总线应用的是( A )

A.T S L 门

B.O C 门

C. 漏极开路门

D.C M O S 与非门 3.以下表达式中符合逻辑运算法则的是( D )

A.C ·C =C 2

B.1+1=10

C.0<1

D.A +1=1 4.T 触发器的功能是( D )

A . 翻转、置“0” B. 保持、置“1” C. 置“1”、置“0” D. 翻转、保持 5. 存储8位二进制信息要多少个触发器(D )

A.2

B.3

C.4

D.8 6.多谐振荡器可产生的波形是( B )

A.正弦波

B.矩形脉冲

C.三角波

D.锯齿波 7.一个16选一的数据选择器,其地址输入(选择控制输入)端的个 数是( C )

A.1

B.2

C.4

D.16 8.引起组合逻辑电路中竟争与冒险的原因是( C )

A.逻辑关系错;

B.干扰信号;

C.电路延时;

D.电源不稳定。 9.同步计数器和异步计数器比较,同步计数器的最显著优点是( A ) A.工作速度高 B.触发器利用率高

C.电路简单

D.不受时钟C P 控制

10.N 个触发器可以构成能寄存多少位二进制数码的寄存器?( B ) A.N -1 B.N C.N +1 D.2N

11.若用J K 触发器来实现特性方程AB Q A Q n 1n +=+,则J K 端的方程应为

( B )

A.J =A B ,K =B A

B.J =A B ,K =B A

C.J =B A +,K =A B

D.J =B A ,K =A B

12.一个无符号10位数字输入的D A C ,其输出电平的级数是( C )

A.4

B.10

C.1024

D.100 13.要构成容量为4K ×8的RAM ,需要多少片容量为256×4的RAM ?( D )

A.2

B.4

C.8

D.32 14.随机存取存储器R A M 中的内容,当电源断掉后又接通,则存储器中的内容将如何变换?( C )

A.全部改变

B.全部为1

C.不确定

D.保持不变 15.用555定时器构成单稳态触发器,其输出的脉宽为( B )

A.0.7RC ;

B.1.1RC ;

C.1.4RC ;

D.1.8RC ;

二、多项选择题(每小题1分,共5分)

在下列每小题的四个备选答案中有二至四个正确答案,请将正确答案全部选出并将其字母标号填入题干的括号内;少选错选都不得分。

16.以下代码中,为无权码的是( C )( D )( )( )

A . 8421BCD 码

B . 5421BCD 码

C . 余三码

D . 格雷码 17.当三态门输出高阻状态时,以下说法正确的是( A )( B )( )( )

A.用电压表测量指针不动

B.相当于悬空

C.电压不高不低

D.测量电阻指针不动 18.已知F=A B +BD+CDE+A D ,下列结果正确的是哪几个?( A )( C )( )( )

A.F =D B A +

B.F =D B A )(+

C.F =))((D B D A ++

D.F =))((D B D A ++ 19.欲使J K 触发器按Q

n +1

=Q n

工作,可使J K 触发器的输入端为以下哪几

种情况?( A )( B )( D )( )

A.J =K =0

B.J =Q ,K =Q

C.J =Q ,K =Q

D.J =Q ,K =0 20.关于PROM 和PAL 的结构,以下叙述正确的是( A )( D )( )( )

A.P R O M 的与阵列固定,不可编程

B.P R O M 与阵列、或阵列均不可编程

C.P A L 与阵列、或阵列均可编程

D.P A L 的与阵列可编程

三、判断改错题(每小题2分,共10分)

先判断对错,并将结果填入题后的括号内,正确的打“√”,错误的打“×”;再对错误部分进行改正。

21. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√ ) 22. TTL 与非门的多余输入端可以接固定高电平。(√ ) 23. 异或函数与同或函数在逻辑上互为反函数。(√ ) 24. D 触发器的特征方程Q

n +1

=D ,而与Q n

无关,所以,D 触发器不是时序电

路。(× )

25. 移位寄存器 74LS194 可串行输入并行输出,但不能串行输入串行输出。(× ) 四、填空题(每小题2分,共16分)

26.二进制数(1011.1001)2转换为八进制数为 13.41 ,转换为十六进制数为

B9 。

27.数字电路按照是否具有记忆功能通常可分为两类: 组合逻辑电路 、 时序逻辑电路 。

28.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ABAB ,或与非表达

式为 ()()A B A B ++ 。

29.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小

项的值为1。

30.在题30图所示可编程阵列逻辑(PAL )电路中,Y 1=123234134124I I I I I I I I I I I I +++,

Y 3=12I I ⊕。

31.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接

地,则上触发电平U T+

= 8 V ,下触发电平U T –= 4 V 。

32.若ROM 具有10条地址线和8条数据线,则存储容量为 1K ×8 比特,可以

存储 1024 个字节。 33.对于JK 触发器,若K J =,则可完成 T 触发器的逻辑功能;若K J =,则可完成 D 触发器的逻辑功能。 五、化简题(每小题5分,共10分)

34.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

解:F=C ·[ABD BC BD A +++(B+C)D]

()()()()()C BD BC BD CD C BDBC BD CD C BD BC CD C BD CD B C C B C D BC CD

=?+++=?++=?++=?+++=?++=+

35. 用卡诺图法将下列函数化简为最简与或式:

F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15)

解:

C

1 0 0 1

B

A D

F BD BD ABC =++

六、分析题(每小题8分,共24分)

36.试分析题36图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻

辑图。 解:

[()]Y AB ABC BC C AB ABC B C ABC

=++=+=

题36图 真值表:

A

B

C

Y

0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1

1

1

逻辑图:

1 1 1 0

1

× ×

× × × ×

&

A B C

Y

37.74161组成的电路如题37图所示,分析电路,并回答以下问题

(1)画出电路的状态转换图(Q3Q2Q1Q0);

(2)说出电路的功能。(74161的功能见表)

题37图

解:(1)状态转换表:

Q n

3

Q n

2

Q n

1

Q n

Q n+1

3

Q n+1

2

Q n+1

1

Q n+1

0 0 0 0 0 0 0 1

0 0 0 1 0 0 1 0

0 0 1 0 0 0 1 1

0 0 1 1 0 1 0 0

0 1 0 0 0 1 0 1

0 1 0 1 0 1 1 0

0 1 1 0 0 1 1 1

0 1 1 1 1 0 0 0

1 0 0 0 1 0 0 1

1 0 0 1 1 0 1 0

1 0 1 0 1 0 1 1

1 0 1 1 0 0 0 0

状态转换图:

(2)功能:11进制计数器。从0000开始计数,当Q3Q2Q1Q0 为1011时,通过与非门异步清0000 0001 0010 0011 0100

0101

0110

0111

1000

1001

1010

1011

Q

3

Q

2

Q

1

Q

零,完成一个计数周期。

38.分析如题38图所示由边沿JK 触发器组成的时序逻辑电路,写出电路的驱动方程、状态方程,画出状态转换图。

题38图

解:

驱动方程:J1=K1=1

J2=K2=1

Q

状态方程:1

111111

n n n n

Q J Q K Q Q

+=+=

1

222221212

1

2

n n n n n n n n n

Q J Q K Q Q Q Q Q Q Q

+=+=+=e

状态转换图

七、设计题(每小题10分,共20分)

39.试设计一个检测电路。该电路的输入是一位8421BCD码。当输入的8421BCD码所对应的十进制数符能被5整除时,输出为1,否则输出为0。用与非门实现之。

解:由题意列出真值表:

A B C D Y

0 0 0 0 1

0 0 0 1 0

0 0 1 0 0

0 0 1 1 0

0 1 0 0 0

00 11

10

01

Q

2

Q

1

0 1 0 1 1 0 1 1 0 0 0 1 1 1 0 1 0 0 0 0 1

1

卡诺图:

C

B A

D

表达式为:Y ABCD BCD ABCD BCD =+=?

逻辑

逻辑图:

A

B

C

D Y

40.试用D 功能触发器,完成题40图所示的状态转换的同步时序逻辑电路(不画逻辑图)。要求: (1)列出次态卡诺图;(2)写出状态方程;(3)写出驱动方程。

1 0 0 0 0

1

× × × ×

0 0 × × Y

题40图

解:

(1)卡诺图:

与驱动方程:

1

22210

1

121010

1

00

2

1

n n n n n

n n n n n n

n n

Q D Q A Q Q Q

Q D Q Q Q Q Q

Q D Q

+

+

+

==+

==+

==

(2)状态方程

0 0 1 0

1 0 ××

0 1 0 1

0 0 ××

1 0 0 1

1 0 ××

D2 D1

D0

电力电子技术期末考试试题及答案(1)

电力电子技术试题 第 1 章电力电子器件 1.电力电子器件一般工作在 __开关__状态。 2.在通常情况下,电力电子器件功率损耗主要为 __通态损耗 __,而当器件开关频率较高时,功率损耗主要为 __开关损耗 __。 3.电力电子器件组成的系统,一般由 __控制电路 __、_驱动电路 _、 _主电路 _三部分组成,由于电路中存在电压和电流的过冲,往往需添加 _保护电路 __。 4.按内部电子和空穴两种载流子参与导电的情况,电力电子器件可分为 _单极型器件 _ 、 _双极型器件_ 、_复合型器件 _三类。 5.电力二极管的工作特性可概括为 _承受正向电压导通,承受反相电压截止 _。 6.电力二极管的主要类型有 _普通二极管 _、_快恢复二极管 _、 _肖特基二极管 _。 7. 肖特基二极管的开关损耗 _小于快恢复二极管的开关损耗。 8.晶闸管的基本工作特性可概括为__正向电压门极有触发则导通、反向电压则截止__ 。 9.对同一晶闸管,维持电流 IH与擎住电流 IL 在数值大小上有 IL__大于__IH 。 10.晶闸管断态不重复电压 UDSM与转折电压 Ubo数值大小上应为, UDSM_大于 __Ubo。 11.逆导晶闸管是将 _二极管_与晶闸管 _反并联_(如何连接)在同一管芯上的功率集成器件。 12.GTO的__多元集成 __结构是为了便于实现门极控制关断而设计的。 13.MOSFET的漏极伏安特性中的三个区域与GTR共发射极接法时的输出特性中的三个区域有对应关系,其中前者的截止区对应后者的 _截止区 _、前者的饱和区对应后者的 __放大区 __、前者的非饱和区对应后者的 _饱和区 __。 14.电力 MOSFET的通态电阻具有 __正 __温度系数。 15.IGBT 的开启电压 UGE(th )随温度升高而 _略有下降 __,开关速度 __小于__电力 MOSFET。 16.按照驱动电路加在电力电子器件控制端和公共端之间的性质,可将电力电子器件分为 _电压驱动型 _和_电流驱动型_两类。 17.IGBT的通态压降在 1/2 或1/3 额定电流以下区段具有 __负___温度系数,在1/2 或 1/3 额定电流以上区段具有 __正___温度系数。

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术实验心得

数字电子技术实验心得 这学期学了数字电子技术实验,让我了解到了更多知识,加深了对数字电子技术的理解。这是一门理论与实践密切相关的学科,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 我也学习到一些经验: 1、如果发现了实验中问题所在,此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 2、在实验过程中,我们也要学会分工协作,不能一味的我行我素或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 在实验的过程中我们要培养自己的独立分析问题,和解决问题的能力。培养这种能力的前题是你对每次实验的态度。数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。我们认为,在这学期的实

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

电工电子技术期末考试试题及答案汇总

成绩统计表 专业班级____________ 考生姓名:____________ 学号_______ 请将选择题答案填入下表: 一.选择(20分、2分/题) 1.变压器降压使用时,能输出较大的____b_____。 A、功率 B、电流 C、电能 D、电功 2.三相异步电动机旋转磁场的旋转方向是由三相电源的________b_决定。 A、相位 B、相序 C、频率 D、相位角3.电气控制线路原理图中,触头的位置是处于______a___。A、未通电状态B、通电状态C、根据情况确定状态4.为保证机床操作者的安全,机床照明灯的电压应选____d_____。 A、380V B、220V C、110V D、36V以下5.关于提高功率因数的说法,正确的是( c ) A.在感性负载上并联电感可以提高功率因数 B.在感性负载上并联电容可以降低功率因数

C.在感性负载上并联电容可以提高功率因数 6.乙类互补对称式功放电路,其输出波形的交越失真是指( c )。A.频率失真B、相位失真C、波形过零时出现的失真D、幅度失真 7.稳压管的动态电阻(b )稳压性能越好。 A、越大 B、越小 C、较合适 D、不一定 8.运算放大器电路如图所示,该电路中反馈类型为( )。a (A) 串联电压负反馈(B) 串联电流负反馈 (C) 并联电压负反馈(D) 并联电流负反馈 ∞ 9.单稳态触发器的输出状态有(a) A、一个稳态、一个暂态 B、两个稳态 C、只有一个稳态 D、没有稳态 10.一个8选1多路选择器,输入地址有 c 。

A、2位 B、3位 C、4位 D、8位 二、计算题(70分) 1.已知图5所示电路中U S1=24V,U S2=6V,R1=12Ω,R2=6Ω,R3=2Ω,试用戴维宁定理求流过电阻R3中的电流I3。(10分) a I3 b 2.如图所示R-L串联电路,R=280Ω,R L=20Ω,L=1.65H,电源电压U=220V,电源频率为50H Z。(10分)

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

电力电子技术期末考试试题及答案(史上最全)

电力电子技术试题 第1章电力电子器件 1.电力电子器件一般工作在__开关__状态。 2.在通常情况下,电力电子器件功率损耗主要为__通态损耗__,而当器件开关频率较高 时,功率损耗主要为__开关损耗__。 3.电力电子器件组成的系统,一般由__控制电路__、_驱动电路_、 _主电路_三部分组成, 由于电路中存在电压和电流的过冲,往往需添加_保护电路__。 4.按内部电子和空穴两种载流子参与导电的情况,电力电子器件可分为_单极型器件_ 、 _ 双极型器件_ 、_复合型器件_三类。 5.电力二极管的工作特性可概括为_承受正向电压导通,承受反相电压截止_。 6.电力二极管的主要类型有_普通二极管_、_快恢复二极管_、 _肖特基二极管_。 7.肖特基 二极管的开关损耗_小于_快恢复二极管的开关损耗。 8.晶闸管的基本工作特性可概括为 __正向电压门极有触发则导通、反向电压则截止__ 。 9.对同一晶闸管,维持电流IH与擎住电流IL在数值大小上有IL__大于__IH 。 10.晶闸管断态不重复电压UDSM与转折电压Ubo数值大小上应为,UDSM_大于__Ubo。 11.逆导晶闸管是将_二极管_与晶闸管_反并联_(如何连接)在同一管芯上的功率集成器件。的__多元集成__结构是为了便于实现门极控制关断而设计的。 的漏极伏安特性中的三个区域与GTR共发射极接法时的输出特性中的三个区域有对应关系,其中前者的截止区对应后者的_截止区_、前者的饱和区对应后者的__放大区__、前者的非饱和区对应后者的_饱和区__。 14.电力MOSFET的通态电阻具有__正__温度系数。 的开启电压UGE(th)随温度升高而_略有下降__,开关速度__小于__电力MOSFET 。 16.按照驱动电路加在电力电子器件控制端和公共端之间的性质,可将电力电子器件分为_电压驱动型_和_电流驱动型_两类。 的通态压降在1/2或1/3额定电流以下区段具有__负___温度系数,在1/2或1/3额定电流以上区段具有__正___温度系数。 18.在如下器件:电力二极管(Power Diode)、晶闸管(SCR)、门极可关断晶闸管(GTO)、电力晶体管(GTR)、电力场效应管(电力MOSFET)、绝缘栅双极型晶体管(IGBT)中,属

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

电子技术期末考试试卷及答案

2、射极输出器电路中,输出电压U o 与输入电压u i 之间的关系是( )。 (a ) 两者反相,输出电压大于输出电压 (b ) 两者同相,输出电压近似等于输入电压 (c ) 两者相位差90 ,且大小相等 3、为了放大变化缓慢的信号或直流信号,多级放大器级与级之间必须采 用( )。 (a ) 阻容耦合 (b ) 变压器耦合 (c ) 直接耦合 汁侶吗llTFF (咏宀、 方 亠z-r /咏宀\ 命题教帅(签字) 试做教师(签字) 系、室土任(签字) )匚记标修重 ................ 名姓 题号 -一- _ 二 _ 三 四 五 七 八 合计 满分 32 10 8 10 6 7六 10 12 丿八 12 100 实得分 评阅人 得分 、单项选择题:在下列各题中,将唯一正确的答案代码填 入括号内(本大题共16小题,总32分) 1、 电 路如图 所 示, 所有二极管 状 态为 ( )。 ⑻ D 1导 通,D 2、 D 3 截 止 (b) D 1、 D 2截止 D 3导通 (c) D 1、 D 3截止, D 2导 通 (d) D 1、 D 2、D 3均 截 止 均为理想元件,则D ,、D 2、D 3的工作 12V +6V J 1 ----------------- W D2 Ld D3 白R 0V D i

⑻L i、C i组成的电路 (b)L、C组成的电路 (c)L2、&组成的电路 +U cc 5、正弦波振荡器如图所示,为了获得频率可调的输出电压,则应该调节的电阻是()。 ⑻R i (b)R F(c)R U o 6、模拟电路中晶体管大多工作于()。 ⑻放大状态(b)开关状态(c)击穿状态 7、数字电路中的工作信号为()。 ⑻随时间连续变化的电信号(b)脉冲信号(c)直流信号 8、逻辑电路如图所示,分析图中C, J, K的波形。当初始状态为“ 0” 时,输出Q是“1”的瞬间为()。 ⑻t i (b) t2 (c) t3

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

电力电子技术期末考试试题及答案最新版本

电力电子技术试题
第 1 章 电力电子器件 1.电力电子器件一般工作在__开关__状态。 5.电力二极管的工作特性可概括为_承受正向电压导通,承受反相电压截止_。 6.电力二极管的主要类型有_普通二极管_、_快恢复二极管_、 _肖特基二极管_。 8.晶闸管的基本工作特性可概括为 __正向电压门极有触发则导通、反向电压则截止__ 。 18.在如下器件:电力二极管(Power Diode)、晶闸管(SCR)、门极可关断晶闸管(GTO)、电力晶体管(GTR)、电力场效应管(电力 MOSFET)、绝缘 栅双极型晶体管(IGBT)中,属于不可控器件的是_电力二极管__,属于半控型器件的是__晶闸管_,属于全控型器件的是_ GTO 、GTR 、电力 MOSFET 、 IGBT _;属于单极型电力电子器件的有_电力 MOSFET _,属于双极型器件的有_电力二极管、晶闸管、GTO 、GTR _,属于复合型电力电子器件得有 __ IGBT _;在可控的器件中,容量最大的是_晶闸管_,工作频率最高的是_电力 MOSFET,属于电压驱动的是电力 MOSFET 、IGBT _,属于电流驱动的是_晶闸管、
GTO 、GTR _。2、可关断晶闸管的图形符号是 ;电力场效应晶体管的图形符号是
绝缘栅双极晶体管的图形符号是
;电力晶体管的图形符号是

第 2 章 整流电路 1.电阻负载的特点是_电压和电流成正比且波形相同_,在单相半波可控整流电阻性负载电路中,晶闸管控制角 α 的最大移相范围是_0-180O_。 2.阻感负载的特点是_流过电感的电流不能突变,在单相半波可控整流带阻感负载并联续流二极管的电路中,晶闸管控制角 α 的最大移相范围是__0-180O
_ ,其承受的最大正反向电压均为_ 2U2 __,续流二极管承受的最大反向电压为__ 2U2 _(设 U2 为相电压有效值)。
3.单相桥式全控整流电路中,带纯电阻负载时,α 角移相范围为__0-180O _,单个晶闸管所承受的最大正向电压和反向电压分别为__ 2U2 2 和_ 2U2 ;
带阻感负载时,α 角移相范围为_0-90O _,单个晶闸管所承受的最大正向电压和反向电压分别为__ 2U2 _和__ 2U2 _;带反电动势负载时,欲使电阻上的电
流不出现断续现象,可在主电路中直流输出侧串联一个_平波电抗器_。
5.电阻性负载三相半波可控整流电路中,晶闸管所承受的最大正向电压 UFm 等于__ 2U2 _,晶闸管控制角 α 的最大移相范围是_0-150o_,使负载电流连
续的条件为__ 30o __(U2 为相电压有效值)。
6.三相半波可控整流电路中的三个晶闸管的触发脉冲相位按相序依次互差_120o _,当它带阻感负载时, 的移相范围为__0-90o _。 7.三相桥式全控整流电路带电阻负载工作中,共阴极组中处于通态的晶闸管对应的是_最高__的相电压,而共阳极组中处于导通的晶闸管对应的是_最低_
的相电压;这种电路
角的移相范围是_0-120o _,ud 波形连续的条件是_ 60o _。
8.对于三相半波可控整流电路,换相重迭角的影响,将使用输出电压平均值__下降_。
11.实际工作中,整流电路输出的电压是周期性的非正弦函数,当
从 0°~90°变化时,整流输出的电压 ud 的谐波幅值随
的增大而 _增大_,

从 90°~180°变化时,整流输出的电压 ud 的谐波幅值随
的增大而_减小_。
12. 逆 变 电 路 中 , 当 交 流 侧 和 电 网 连 结 时 , 这 种 电 路 称 为 _ 有 源 逆 变 _ , 欲 实 现 有 源 逆 变 , 只 能 采 用 __ 全 控 _ 电 路 ; 对 于 单 相 全 波 电 路 , 当 控制 角
0<
<
时,电路工作在__整流_状态;
时,电路工作在__逆变_状态。
13.在整流电路中,能够实现有源逆变的有_单相全波_、_三相桥式整流电路_等(可控整流电路均可),其工作在有源逆变状态的条件是_有直流电动势,
其极性和晶闸管导通方向一致,其值大于变流器直流侧平均电压_和__晶闸管的控制角 a > 90O,使输出平均电压 Ud 为负值_。 第 3 章 直流斩波电路
1.直流斩波电路完成得是直流到_直流_的变换。
2.直流斩波电路中最基本的两种电路是_降压斩波电路 和_升压斩波电路_。
3.斩波电路有三种控制方式:_脉冲宽度调制(PWM)_、_频率调制_和_(ton 和 T 都可调,改变占空比)混合型。
6.CuK 斩波电路电压的输入输出关系相同的有__升压斩波电路___、__Sepic 斩波电路_和__Zeta 斩波电路__。
7.Sepic 斩波电路和 Zeta 斩波电路具有相同的输入输出关系,所不同的是:_ Sepic 斩波电路_的电源电流和负载电流均连续,_ Zeta 斩波电路_的输入、输
出电流均是断续的,但两种电路输出的电压都为__正_极性的 。
8.斩波电路用于拖动直流电动机时,降压斩波电路能使电动机工作于第__1__象限,升压斩波电路能使电动机工作于第__2__象限,_电流可逆斩波电路能
编辑版 word

数字电子技术实验-在线

数字电子技术综合实验指导书 实验一门电路功能测试及应用 一.实验目的 1.掌握了解TTL系列、CMOS系列外形及逻辑功能。 2.熟悉各种门电路参数的测试方法。 3. 熟悉集成电路的引脚排列 二、实验仪器及材料 a)东南大学在线实验平台-SEU远程实境实验平台数字逻辑电路实验 三.预习要求 1)复习门电路工作原理及相应逻辑表达式。 2)常用TTL门电路和CMOS门电路的功能、特点。 3)熟悉所用集成电路的引线位置及各引线用途。 四、实验原理及芯片外引线图、逻辑符号及逻辑图 1.TTL门电路 TTL门电路是数字电路中应用最广泛的门电路,基本门有与门、或门和非门。复合门有与非门、或非门、与或非门和异或门等。这种电路的电源电压为+5V,电源电压允许变化范围比较窄,一般在 4.5~5.5V 之间。高电平的典型值是3.6V(高电平≥2.4V合格),低电平的典型值是0.3V(低电平≤0.45V合格)。 对门电路的多余输入端,最好不要悬空,虽然对TTL门电路来说,悬空相当于逻辑1,并不影响与门、与非门的逻辑关系,但悬空容易接受干扰,有时会造成电路的误动作。不同的逻辑门,其多余输入端的处理有不同的方法。 ⑴TTL与门、与非门多余输入端的处理 TTL与门、与非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余输入端接高电平或通过串接限流电阻(大于或等于1KΩ)接V CC。实际使用中多采用把多余的输入端通过串接限流电阻接V CC的方法。其处理方法如图5-1所示。 (a) (b) (c) 图5-1 TTL与门与非门多余输入端的处理方法 ⑵TTL或门、或非门多余输入端的处理 TTL或门、或非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余的输入端接低电平或接地。 2.CMOS门电路 CMOS门电路具有输入电阻高、功耗小、制造工艺简单、集成度高、电源电压变化范围大(3~18V)、输出电压摆幅大和噪声容限高等优点,因而在数字电路中得到了广泛的应用。高电平的典型值是电源电压V DD,低电平的典型值是0V。由于CMOS门电路的输入电阻很高,容易受静电感应而造成击穿,使其损坏,因此使用时应注意以下几点:

电工电子技术期末考试试卷及答案

《电工电子技术基础》期末考试试卷 (闭卷) 一、填空题(每空1分,共40分) 1、交流电的电流或电压在变化过程中的任一瞬间,都有确定的大小和方向,叫做交流电该时刻的瞬时值,分别用小写字母 i 、 u 表示。 2、数字电路中只有 0 和 1 两个数码。 3、三相电源的中线一般是接地的,所以中线又称__地___线。三相电源三相绕组的首端引出的三根导线叫做___相__线。 4、(1011)2 = ( 11 )10。 5、电容和电阻都是电路中的基本元件,但它们在电路中所起的作用却是不同的,从能量上看,电容是_储能____元件,电阻是__耗能____元件。 6、为了反映功率利用率把有功功率和视在功率的比值叫功率因数。 7、正弦交流电的三要素是振幅、频率和初相。 8、实际电压源总有内阻,因此实际电压源可以用电动势与电阻串联的组合模型来等效。 9、基本门电路有与门、或门和非门。 10、能够实现“有0出1,全1出0”逻辑关系的门电路是与非门。 11、能够实现“有1出0,全0出1”逻辑关系的门电路是或非门。 12、能够实现“相异出1,相同出0”逻辑关系的门电路是异或门。 13、在交流电中,电流、电压随时间按正弦规律变化的,称为正弦交流电。正弦交流电的三要素是指最大值、角频率、初相位。 14、工频电流的频率f= 50 Hz。 15、设u=311sin314t V,则此电压的最大值为 311V ,频率为 50HZ ,初相位为 0 。 16、在如图所示的电路,已知I1 = 1A,I2 = 3A ,I5 =4.5A,则I3 = 4 A,I4 = 0.5 A,则I6 = 3.5 A。

17、半导体三极管是由发射极、基极、集电极三个电 极,发射结、集电结两个PN结构成。 18、三极管按其内部结构分为 NPN 和 PNP 两种类型。 19、晶体三极管作共射组态时,其输入特性与二极管类似,但其输出特性 较为复杂,可分为放大区外,还有截止区和饱和区。 20、二极管具有单相导电性特性。 二、单项选择题(每小题2分,共10分) 1、如图所示电路中,电压表的内阻Rv为20KΩ,则电压表的指示为( B )。 20KΩ 20KΩ A.5V B.10V C.15V D.30V 2、在三相交流电路中,当负载为对称且三角型连接时,线电流与相电流的 相位关系是( D )。 A. 线电压超前相电压300 B. 线电压滞后相电压300 C. 线电流超前相电流300 D. 线电流滞后相电流300 3、叠加定理可以用在线性电路中不能叠加的是( C )。 A、电压 B、电流 C、功率 D、电动势 4、如图所示电路中,若电阻从2Ω变到10Ω,则电流i( C )。 R i s A.变大 B. 变小 C.不变 D.不确定 5、如图所示电路,电路的电流I=( A )。

数字电子技术实验指导书 新

数字电子技术基础 实验指导书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 北京印刷学院 1

北京印刷学院 信息与机电工程学院 信息工程系 《数字电子技术基础实验》 指 导 书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 电路教研室编 2

3 实验一 示波器的实验研究 一、实验目的与要求 1.掌握COS5020型或V —212E 型双踪示波器的使用方法 2.掌握用示波器测量脉冲波形主要参数的方法 3.熟悉TPE —D6数字电路学习机的使用 二、实验设备与器材 1.双踪示波器 2.数字电路学习机 三、实验内容与步骤 1.双线显示示波器内的CAL 信号 通过检验该信号的周期与幅度,熟悉示波器各旋钮的作用,并测量该信号的周期与幅度。 =CAL V =C A L T 2.示波器测量 用示波器测量数字电路学习机中CP 脉冲的周期(开关放在可调连续脉冲Ⅰ、Ⅱ位置,电位器顺逆时针旋转到底位置),以及该脉冲的逻辑高电平。 =I ax m V =I min T = ax m V = min T 3.观察与测量RC 网络对矩形波信号的响应 本实验所用的电路形式如图1-1所示。 图1-1 RC 实验电路 v I 为输入方波信号,其周期为T =0.1ms 。 (1)RC 微分电路 实验电路中的Z 1和Z 2分别是电容C 和电阻R ,元件参数按表1-1选取,观察与测量输出信号v O 的波形,并测量其脉冲宽度。 (2)RC 积分电路 实验电路中的Z 1和Z 2分别是电阻R 和电容C ,元件参数按表1-2选取,观察与测量输出信号v O 的波形,并测量其脉冲上升时间。 四、预习要求

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

电工电子技术期末考试试题及答案

专业班级____________ 考生姓名:____________ 学号_______ 一.选择(20分、2分/题) 1.变压器降压使用时,能输出较大的____b_____。 A、功率 B、电流 C、电能 D、电功 2.三相异步电动机旋转磁场的旋转方向是由三相电源的 ________b_决定。 A、相位 B、相序 C、频率 D、相位角 3.电气控制线路原理图中,触头的位置是处于______a___。 A、未通电状态 B、通电状态 C、根据情况确定状 态 4.为保证机床操作者的安全,机床照明灯的电压应选 ____d_____。 A、380V B、220V C、110V D、36V以下 5.关于提高功率因数的说法,正确的是( c ) A.在感性负载上并联电感可以提高功率因数

B.在感性负载上并联电容可以降低功率因数 C.在感性负载上并联电容可以提高功率因数 6.乙类互补对称式功放电路,其输出波形的交越失真是指( c )。 A.频率失真 B、相位失真 C、波形过零时出现的失真 D、幅度失真 7.稳压管的动态电阻( b )稳压性能越好。 A、越大 B、越小 C、较合适 D、不一定 8.运算放大器电路如图所示,该电路中反馈类型为( )。a (A) 串联电压负反馈(B) 串联电流负反馈 (C) 并联电压负反馈(D) 并联电流负反馈 -+∞ + u O u i 9.单稳态触发器的输出状态有( a) A、一个稳态、一个暂态 B、两个稳态 C、只有一个稳态 D、没有稳态 10.一个8选1多路选择器,输入地址有 c 。 A、2位 B、3位 C、4位 D、8位 二、计算题(70分) 1.已知图5所示电路中U S1=24V,U S2 =6V,R 1 =12Ω,R 2 =6 Ω,R 3=2Ω,试用戴维宁定理求流过电阻R 3 中的电流I 3 。(10分) a I

数字电子技术实验心得

数字电子技术实验心得 Document number:PBGCG-0857-BTDO-0089-PTT1998

数字电子技术实验心得 这学期学了数字电子技术实验,让我了解到了更多知识,加深了对数字电子技术的理解。这是一门理论与实践密切相关的学科,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做 实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知 识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 我也学习到一些经验: 1、如果发现了实验中问题所在,此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。

2、在实验过程中,我们也要学会分工协作,不能一味的我行我素或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 在实验的过程中我们要培养自己的独立分析问题,和解决问题的能力。培养这种能力的前题是你对每次实验的态度。数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。我们认为,在这学期的实验中,在收获知识的同时,还收获了阅历,收获了成熟,在此过程中,我们通过查找大量资料,请教老师,以及不懈的努力,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。这也是人生中美好的经历,让我感受到大学的更高一层次。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。 通信1403 万军

相关文档
相关文档 最新文档