文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术

数字电子技术

数字电子技术
数字电子技术

《数字电子技术A》主观题离线作业

班级

学号

姓名

二、主观题(共15道小题)

7.?如图3所示,为检测水箱的液位,在A、B、C、三个地方安置了三个水位检测元件,当水面低于检测元件时,检测元件输出低电平,水面高于检测元件时,检测元件输出高电平。试用与非门设计一个水位状态显示电路,要求:当水面在A、B之间的正常状态时,仅绿灯G 亮;水面在B、C?间或A以上的异常状态时,仅黄Y灯亮;水面在C以下的危险状态时,仅红灯R亮。

解:

8.?电路如图7所示,图中74HC153为4选1数据选择器。试问当MN为各种不同输入时,电路分别是那几种不同进制的计数器。

解:

MN=00??8进制计数器,MN=01??9进制计数器,

???MN=10??14进制计数器,MN=11??15进制计数器.

9.?发由全加器FA、2-4线译码器和门电路组成的逻辑电路如图3 a所示。试在图b中填写输出逻辑函数L的卡诺图(不用化简)。

????

????????? (a)??????????????????????????????????? (b)

解:

输出逻辑函数L的卡诺图如图A3所示。

10.?用逻辑代数证明下列不等式

解:

11.?将下列各式转换成与?–?或形式

解:

12.?利用与非门实现下列函数????????L=AB+AC

解:

13.?利用与非门实现下列函数

解:

14.?利用与非门实现下列函数

解:

15.?用卡诺图法化简下列各式

解:

16.?用卡诺图法化简下列各式

解:

17.?用卡诺图法化简下列各式

解:

18.?用卡诺图法化简下列各式

解:

19.?

解:

20.?

解:

21.?

解:

二、主观题(共12道小题)

4.

?

各电路输出端的波形如图A1所示。

5.?

解:???????????? ?

6.?已知逻辑函数:

画出逻辑函数F1、F2?和F的卡诺图;用最少的与非门实现逻辑函数F,画出逻辑图。

解:

逻辑函数F1、F2和F的卡诺图如图A2所示。

化简并变换逻辑函数F得

7.?分析图3所示逻辑电路,写出输出端的逻辑函数表达式,列出真值表,说明电路能实现什么逻辑功能.

解:

8.?用数据选择器组成的多功能组合逻辑电路如图4所示。图中G1、G0为功能选择输入信号,X、Z为输入逻辑变量,F为输出逻辑函数。分析该电路在不同的选择信号时,可获得哪几种逻辑功能,请将结果填入表4中。

解:

9.?设计一个组合逻辑电路。电路输入DCBA为8421BCD码,当输入代码所对应的十进制数能被4整除时,输出L为1,其他情况为0。

1.用或非门实现。

2.用3线-8线译码器74HC138和逻辑门实现。

(0可被任何数整除,要求有设计过程,最后画出电路图)

解:

10.?将下列十进制数转换为二进制数、八进制数、十六进制数和8421BCD码(要求转换误差不大于2-4):

????(1) 43????????????(2) 127???????????(3) ?????????????(4)

解:

(1) 43D=101011B=53O=2BH;??43的BCD编码为0100 0011BCD。

(2) 127D=1111111B=177O=7FH;?127的BCD编码为0001 0010 0111BCD。

(3) =.01B==;????0010 0101 0101BCD。

(4) = 0111B==;??????? 0001 1000BCD。

11.?将下列每一二进制数转换为十六进制码:

????(1) 101001B??????????(2)

解:(1) 101001B=29H??????????(2) =

12.?将下列十六进制数转换为二进制数:

(1)????????????(2)

解:

(1)=10 0011 0101B??????

(2)=1010 0000 0100 0001B

13.?解:

14.?试分析图题所示逻辑电路的功能

解:

全加器

15.?某雷达站有3部雷达A、B、C,其中A和B功率消耗相等,C的功率是A的功率的两倍。这些雷达由两台发电机X和Y供电,发电机X的最大输出功率等于雷达A的功率消耗,发电机Y的最大输出功率是X的3倍。要求设计一个逻辑电路,能够根据各雷达的启动和关闭信号,以最节约电能的方式启、停发电机.

解:

二、主观题(共7道小题)

8.?已知输入信号A、B、C的波形,试画出图2所示各电路输出(L1、L2、L3)的波形。设触发器的初态为0。

解:

波形如图A2所示。

9.?逻辑电路如图4所示,试画出Q0、Q1、Q2的波形。设各触发器初态为0。

解:

10.?已知某同步时序逻辑电路的时序图如图5所示。

1.列出电路的状态转换真值表,写出每个触发器的驱动方程和状态方程

2.试用D触发器和与非门实现该时序逻辑电路,要求电路最简。画出逻辑电路图.

解:

11.?用移位寄存器74194和逻辑门组成的电路如图6所示。设74194的初始状态Q3Q2Q1Q0=00 01,试画出各输出端Q3、Q2、Q1、Q0和L的波形。

解:

各输出端Q3、Q2、Q1、Q0和L的波形如图A6所示。

12.?逻辑电路如图2?a、b、c所示。试对应图d所示输入波形,分别画出输出端L1、、L2?和L3的波形。(设触发器的初态为0)

????????

????????????(a)????????????????????????????????? (b)

???

??????????? (c)??????????????????????????????????? (d)

解:

输出端L1、L2和L3的波形如图A2所示。

13.?由与或非门组成的同步RS触发器如题图所示,试分析其工作原理并列出功能表。

解:

14.?设主从JK触发器的初始状态为0,CP、J、K信号如题图所示,试画出触发器Q端的波形。

解:答案见题图,即上图中最下面一个波形。

二、主观题(共10道小题)

6.?分析如图5所示时序逻辑电路。(设触发器的初态均为0)

1.写出各触发器的时钟方程、驱动方程、状态方程;

2.画出完整的状态图,判断电路是否具能自启动;

3.画出在CP作用下的Q0、Q1及Q3的波形。

解:

7.?试用正边沿D触发器设计一个同步时序电路,其状态转换图如图6所示。

1.列出状态表;

2.写出各触发器的激励方程和输出方程;

3.说明电路功能。

解:

8.?分析如图6所示时序逻辑电路

1.写出各触发器的激励方程、输出方程

2.写出各触发器的状态方程

3.列出电路的状态表并画出状态图

4.说明电路的逻辑功能。?

解:

9.?用边沿JK触发器和最少的逻辑门设计一个同步可控2位二进制减法计数器。当控制信号X=0时,电路状态不变;当X=1时,在时钟脉冲作用下进行减1计数。要求计数器有一个输出信号Z,当产生借位时Z为1,其他情况Z为0。

解:

10.?某组合逻辑电路的输入、输出信号的波形如图4所示。1.写出电路的逻辑函数表达式;

2.用卡诺图化简逻辑函数;

3.用8选1数据选择器74HC151实现该逻辑函数.

解:

数字电子技术总复习1

【教学内容】 第一章逻辑代数基础总结主要内容 ?数制和码制 ?逻辑代数的公式和定理 ?逻辑函数的表示方法 ?逻辑函数的化简方法 具体内容 ?二进制数、十进制数、十六进制数及其互相转换 ?各种BCD码(8421码、余3码、2421码、5211码、余3循环码)思考题: 1.以下代码中为无权码的为CD 。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2 一位十六进制数可以用 C 位二进制数来表示。 A.1 B.2 C.4 D. 16 B.0 3 十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 4 与八进制数(47.3)8等值的数为:AB (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 5. 常用的BCD码有 C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 答案:1.C D 2.C 3.B 4.AB 5.CD 思考题. (10110010.1011)2=( )8=( )16 答案:262.54 B2.B 思考题. ( 0111 1000)8421BCD =()2=( )8=( )10=( )16 答案:1001110 116 78 4E ?各种逻辑运算(与、或、非、与非、或非、与或非、异或、同或)?逻辑代数的常用公式和定理 思考题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 2. 当逻辑函数有n个变量时,共有 D 个变量取值组合? A. n B. 2n C. n2 D. 2n 3. 逻辑函数的表示方法中具有唯一性的是 AD 。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 4.求一个逻辑函数F的对偶式,可将F中的 ACD 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0”

数字电子技术期末试题库

【数字电子技术】【试题库】 一、填空题 1.电子电路中的信号可分为两大类,即模拟信号和。 2.数字信号是时间上和上都不连续的信号。 3.十进制数176转换成二进制数为。 4.二进制数11010011转换成十进制数为。 5.所谓二-十进制编码,就是用若干位二进制码元按一定的规律排列起来表示十进制数的过程,也称为码。 6.目前,国际最通用的处理字母、专用符号和文字的二进制代码就是美国标准信息交换码,即码。 ?+?=。 7.二进制数的逻辑运算0111 8. 二进制数的逻辑运算11=。 ⊕=。 9. 二进制数的逻辑运算11 +?=。 10.利用逻辑代数公式,对右式进行化简,A A B ++?=。 11.利用逻辑代数公式,对右式进行化简,A B A B 12.逻辑代数的三条重要规则分别是代入规则、反演规则和。 13.由n个逻辑变量组成的不同最小项个数为个。 14.由n个变量组成的“与或”逻辑表达式,若其中每一项均是关于n个逻辑变量的最小项,则称这一表达式为。 15.利用卡诺图求解最简逻辑表达式时,需要画方格圈,其中有三条要求:将2n个值为1的方格划为一个方格圈,方格圈的数量应(越少/越多)越好,方格圈所含的方格数应(越少/越多)越好。 16.三极管作为开关元件,通常工作在截止区和。 17.集成门电路主要有TTL门电路和。 18.三态门电路的输出有高电平、低电平和共3种状态。 19.TTL集成门电路是由半导体构成的,由于它工作速度快,带负载和抗干扰能力强,因而在数字电路中应该广泛。 20.根据逻辑功能的不同特点,数字逻辑电路可以分为两大类:组合逻辑电路和。 21.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现干扰脉冲,从而导致逻辑电路产生错误输出的现象,称为。 22.触发器有两种稳定状态,即0状态和。 23.RS触发器由两个门电路首尾相连构成。 24.为了避免基本RS触发器输出存在不确定的情况,对其输入端设置了相应的约束条件是。

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术基础1答案

数字电子技术基础 模拟卷1 一、单项选择题 1、将十进制数56转换成8421BCD 码应是: (D ) A 、(56)10= (0011 1000)8421BCD B 、(56)10= (0011 1001)8421BCD C 、(56)10= (0101 1000)8421BCD D 、(56)10= (0101 0110)8421BCD 2、使晶体三极管工作于饱和区的电压条件是: (C ) A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时,输出才为1,否则输出为0,这种逻辑关系叫做: (A ) A 、同或 B 、与非 C 、异或 D 、或非 4、在功能表中×的含义是: ( D ) A 、表示高电平 B 、表示低电平 C 、高低电平都不可以 D 、高低电平都可以 5、下列4个电路中能实现AB L 逻辑关系的是: ( C ) A A ≥1 & B B B A L 1 L =1 B A =1 A B C D 6、TTL 门电路理论上的逻辑低电平为: ( B ) A 、0V B 、0.3V C 、1.4V D 、1.8V 7、下列电路中不属于时序逻辑电路的是: (B ) A 、移位寄存器 B 、译码器 C 、随机存取存储器 D 、计数器 8、下列电路中无需外加触发信号就能自动产生方波信号的电路是: ( A ) A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 D 、RS 触发器 9、下面对时序逻辑电路的描述不正确的是: (A ) A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态,存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术基础(1)

东 北 大 学 继 续 教 育 学 院 数字电子技术基础 I 试 卷(作业考核 线下) B 卷(共 4 页) 注:请您单面打印,使用黑色或蓝色笔,手写完成作业。杜绝打印,抄袭作业。 一、(12分)化简逻辑函数 1、(4分)用公式法化简逻辑函数AB ABC C AB F ?+=,并用最少量与非门实现该逻辑函数。 答案: 2、(8分)某电路的输入A 、B 、C 和输出F 的波形如图所示,请列出真值表、化简该逻辑 函数,并用最少量二输入与非门实现该电路。 二、(10分), 对CMOS 电路,图中 给予标注,未加标注的为TTL 电路。 答案:A F =1 12=F A F =3 AB F =4 B A F +=5 三、(12分)用4选1数据选择器设计一个奇校验电路,输入为3位二进制代码。当输入代码中有偶数个1时或者没有1时输出为0。要求列出真值表、写出逻辑函数表达式,画出逻辑图。4选1数据选择器的逻辑符号如图所示。 答案:真值表 ABC AB ABC ABC AB AB ABC AB C AB AB AB AB ABC C AB AB ABC C AB F =?+?=?++=?+?+=?+=)(

逻辑函数表达式为ABC C B A C B A C B A F +++= 四、(10分)8线-3线优先编码器 答案:74148和与非门构成了十线-五、(10A 、B 为输入端。要求写出S 1、S 0答案:由图可知: B A S S B A S S AB S B A S S AB S S A S S F 010*********+++++?= 由此知 六、(8分)试用图(a)路。要求将图(a)中的触发器和门电路连接成一个完整的电路。 Q Q CP F 图(a) CP Q F 图(b) 答案:由波形图看出触发器的状态在每个CP 下降沿翻转,将JK 触发器的输入端同时接1即可。CP Q CP Q F ⊕=⊕= 七、(6分)一个D 触发器转换成T 触发器的电路如图2所示,试确定图中虚框内逻辑门的 类型,简单说明理由。 图2 答案:逻辑门的类型是同或门。原因是n n n Q T Q T D Q ⊕=⊕==+1。 八、(6 分)画出图 (a)电路中触发器输出端的波形。输入信号A 、B 的波形如图 (b)所示。触 发器的初始状态Q=0。 CP 图(a ) 图(b ) A C F

(完整word版)数字电子技术基础习题-答案3-4章

第3章 逻辑代数及逻辑门 【3-1】 填空 1、与模拟信号相比,数字信号的特点是它的 离散 性。一个数字信号只有两种取值分别表示为0 和1 。 2、布尔代数中有三种最基本运算: 与 、 或 和 非 ,在此基础上又派生出五种基本运算,分别为与非、或非、异或、同或和与或非。 3、与运算的法则可概述为:有“0”出 0 ,全“1”出 1;类似地或运算的法则为 有”1”出”1”,全”0”出”0” 。 4、摩根定理表示为:A B ?=A B + ;A B +=A B ?。 5、函数表达式Y=AB C D ++,则其对偶式为Y '=()A B C D +?。 6、根据反演规则,若Y=AB C D C +++,则Y =()AB C D C ++? 。 7、指出下列各式中哪些是四变量A B C D 的最小项和最大项。在最小项后的( )里填入m i ,在最大项后的( )里填入M i ,其它填×(i 为最小项或最大项的序号)。 (1) A +B +D (× ); (2) ABCD (m 7 ); (3) ABC ( × ) (4)AB (C +D ) (×); (5) A B C D +++ (M 9 ) ; (6) A+B+CD (× ); 8、函数式F=AB+BC+CD 写成最小项之和的形式结果应为m ∑(3,6,7,11,12,13,14,15), 写成最大项之积的形式结果应为 M (∏ 0,1,2,4,5,8,9,10 ) 9、对逻辑运算判断下述说法是否正确,正确者在其后( )内打对号,反之打×。 (1) 若X +Y =X +Z ,则Y=Z ;( × ) (2) 若XY=XZ ,则Y=Z ;( × ) (3) 若X ⊕Y=X ⊕Z ,则Y=Z ;(√ ) 【3-2】用代数法化简下列各式 (1) F 1 =1ABC AB += (2) F 2 =ABCD ABD ACD AD ++= (3)3F AC ABC ACD CD A CD =+++=+ (4) 4()()F A B C A B C A B C A BC =++?++?++=+ 【3-3】 用卡诺图化简下列各式

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

完整版数字电子技术基础1

、单项选择题 1、将十进制数56转换成8421BCD 码应是: 2、使晶体三极管工作于饱和区的电压条件是: 系叫做: 6、TTL 门电路理论上的逻辑低电平为: 7、下列电路中不属于时序逻辑电路的是: &下列电路中无需外加触发信号就能自动产生方波信号的电路是: A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 9、下面对时序逻辑电路的描述不正确的是: A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 数字电子技术基础 模拟卷1 A 、(56) 10= ( 0011 1000 8421BCD B 、 (56) 10= ( 0011 1001) 8421BCD C 、(56) 10= ( 0101 1000) 8421BCD D 、 (56) 10= ( 0101 0110) 8421BCD A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时, 输出才为 1,否则输出为0,这种逻辑关 A 、同或 B 、与非 C 、异或 D 、或非 4、 在功能表中刈勺含义是: A 、表示高电平 B 、表示低电平 C 、咼低电平都不可以 D 、咼低电平都可以 5、 下列4个电路中能实现L AB 逻辑关系的是: >1 =1 >1 =1 D- A 、0V B 、0.3V C 、1.4V D 、1.8V A 、移位寄存器 B 、译码器 C 、随机存取存储器 计数器 RS 触发器

B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态, 存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K X 4位,若要扩展存储容量为 需要几片2114 D 、16 片 12、5G7520为10位集成数模转换器,设参考电压 V REF =10V ,R F =R , 、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其 2、描述触发器逻辑功能的方法有: 3、比较下列几个数的大小,正确的结果是: D 、(2A ) 16 >( 101101) 2 4、在下式中选出正确的逻辑代数公式: 4KX8 位, 11、已知逻辑函数 L A B D ,则其反函数F 为: A 、A BCD B 、A BCD C 、A BCD D 、 A BCD 当输入全 1时,输出电压的绝对值为: 10V C 10V ——255 B 、—— 1 256 1024 B 、 C 、 10V ——1023 1024 D 、 10V —— 1 256 号码分别填在题干的括号内。多选、少选、 错选均无分。) 1、逻辑函数L (AB AB)C 中,变量A 、 B 、C 取哪些值时, L 的值为1。 A 、ABC 取 011 B 、AB C 取 101 C 、ABC 取 000 ABC 取 111 A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 A 、(46) 8>( 39) 10 B 、(2A ) 16>( 39) 10 C 、(101101) 2>( 39) 10

数字电子技术基础1

数字电子技术基础模拟卷1 、单项选择题 1、将十进制数56转换成8421BCD 码应是: A 、(56) 10= (0011 1000 8421BCD B 、(56) 10= (0011 1001) C 、(56) 10= (0101 100C ) 8421BC D D 、(56) 10= (0101 0110) 2、使晶体三极管工作于饱和区的电压条件是: A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时, 输出才为 1,否则输出为0,这种逻辑关 系叫做: 4、在功能表中 >的含义是: A 、表示咼电平 5、下列4个电路中能实现L AB 逻辑关系的是: 6、TTL 门电路理论上的逻辑低电平为: 7、下列电路中不属于时序逻辑电路的是: F 列电路中无需外加触发信号就能自动产生方波信号的电路是: A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 9、下面 对时序逻辑电路的描述不正确的是: A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 8421BCD 8421BCD A 、同或 B 、与非 C 、异或 D 、或非 B 、表示低电平 C 、高低电平都不可以 D 、高低电平都可以 =1 >1 A 、0V B 、0.3V C 、1.4V D 、1.8V A 、移位寄存器 B 、译码器 C 、随机存取存储器 计数器 RS 触发器 L A A B B A B

B 、 时序电路包含组合电路和存储电路两部分。 C 、 时序电路中的存储电路是要记忆以前的状态, 存储电路可由触发器组成 D 、 时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K X 4位,若要扩展存储容量为4KX8位, 需要几片2114 () A 、4片 B 、2片 C 、8片 D 、16 片 11、已知逻辑函数L ABC D ,则其反函数F 为: () A 、A BCD B 、A BCD C 、A BCD D 、A BCD 12、5G7520为10位集成数模转换器,设参考电压 V REF =10V , R F =R ,当输入全 1时,输出电压的绝对值为: () 二、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其 号码分别填在题干的括号内。多选、少选、错选均无分。) 1、 逻辑函数L (AB AB)C 中,变量A 、B 、C 取哪些值时,L 的值为1。 ()()()() A 、ABC 取 011 B 、AB C 取 101 C 、ABC 取 000 D 、ABC 取 111 2、 描述触发器逻辑功能的方法有: ()()()() A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 3、 比较下列几个数的大小,正确的结果是: ()()()() A 、(46) 8>( 39) 10 B 、(2A ) 16>( 39) 10 C 、(101101) 2>( 39) 10 D 、(2A ) 16 >( 101101) 2 4、 在下式中选出正确的逻辑代数公式: 10V 256 255 B 、 10V 1 1024 C 、 10V 1023 1024 D 、 10V 256

数电期末练习题

第一章数制与码制 一、单项选择题: 1. 十进制数32转换为二进制数为(C)A、1000 B、10000 C、100000 D、1000000 2. 二进制数转换为十六进制数为(D )A、FE1H B、FC2H C、7D1H D、7E1H 3. 十进制数36转换为8421BCD码为(C)A、00100100 B、00110100 C、00110110 D、 4. 一位十六进制数可以用(C )位二进制数来表示。A、1B、2C、4D、16 5. 十进制数25用8421BCD码表示为(B )。A、10 101 B、0010 0101 C、100101 D、10101 6.十进制数35转换为8421BCD码为(B )A、00100100 B、00110101 C、00100011 D、00110110 7.三位二进制数码可以表示的状态是( D )。A、2 B、4 C、6 D、8 8.十进制数25转换为二进制数为( D )。A、110001 B、10111 C、10011 D、11001 9.BCD代码为()表示的数为(594)10,则该BCD代码为()。 A、8421BCD码 B、余3 BCD码 C、5421BCD码 D、2421BCD码(C) 10.与二进制数00100011相应的十进制数是( B )。A、35 B、19 C、23 D、67 11. 是8421BCD码的是( B )。A、1010B、0101 C、1100 D、1101 12. 二进制数1101转换为十进制数为(D )A、10 B、11 C、12D、13 13. 比较数的大小,最大数为( C )A、(1 B、(51)10C、(34)16 =(52) 10 D、(43)8 14.把二进制数转换成十进制数为(A )A、150 B、96 C、82 D、159 15. 将十六进制数4FB转换为二进制数等于( C ) A、0B B、0B C、0 D、 16. 将数转换为十六进制数为( A )A、 B 、C、 D 2 17. 将十进制数130转换为对应的八进制数:( ) A、202 B、82 C、120 D、230 18. 二进制整数最低位的权是(c )A、0 B、2 C、02D、4 19. n位二进制整数,最高位的权是()A、n2B、1n2-C、1n2+D、2n2+ 20. 下列四个数中最大的数是( ) A、(AF)16 B、(0010)8421BCD C、()2 D、(198)10 21. 将代码()8421BCD转换成二进制数为(b) A、(01000011)2 B、(01010011)2 C、()2 D、(0001)2 22. 十进制数4用8421BCD码表示为:()A、100 B、0100 C、0011 D、11 23. 下列不同进位制中最大的是() A、(76)8 B、(1100101)2 C、(76)10 D、(76)16 24. 用8421码表示的十进制数45,可以写成() A、45 B、[101101]BCD C、[01000101]BCD D、[101101]2 25. 下列属于8421BCD码的是()A、1011B、1111C、0111D、1100 26. 下列不属于8421BCD码的是()A、0101B、1000C、0111D、1100 27. 下列四个数中最大的数是( )

(完整word版)数字电路基础.docx

数字电子技术基础网络题库 第一章数字电路基础(1) S09209B 数字电路中的工作信号为()。 A. 随时间连续变化的电信号 B.脉冲信号 C.直流信号 解: B S09211B 与二进制数10001001 相应的十进制数是()。 A.89 B. 137 C.73 解: B S09212B 模拟电路中的工作信号为()。 A. 随时间连续变化的电信号 B.随时间不连续变化的电信号 C.持续时间短暂的脉冲信号 解: A S09219B 数字电路中的工作信号为()。 A. 随时间连续变化的电信号 B.脉冲信号 C.直流信号 解: B S12222B 逻辑电路如图所示,EN 为控制端,若C=“1”,则 F 为()。 A.AB AB B.AB C.高阻状态 解: C S09220B 逻辑图和输入A, B 的波形如图所示,分析在t1时刻输出 F 为()。 A.“ 1” B.“ 0” C.不定 解: B S09221B A B C

A. F ABC B.F A B C C.F ABC 解: A S09222B 逻辑式 F AB BD ABC ABC D ,化简后为()。 A.F AB BC B.F A B+C D C.F AB BD 解: C S09223B 与二进制数00101010 相应的十进制数是()。 A. 20 B. 74 C. 42 解: B S09224B 逻辑式 F ABC ABC ABC ABC ,化简后为()。 A. F A B. F B C.F AB 解: A S09206N 反码是 (1011101)反对应的十进制数是()。 A. –29 B.–34 C. –16 D. 22 解: B S09206G (–25)10的补码是()。 A. 100111 B.000111 C. 011001 D.111001 解: A S09207G 某十六进制数的原、反、补(不一定是这个顺序)码是 101011010,101011011,110100101,该十六进制数为()。 A.5A B.–DA1 C.A5 D.–A5 解: D S09207N 某十进制数的原、反、补(不一定是这个顺序) 码是 1001001,1001010, 1110110,该十进制数是()。

数字电子技术试卷及答案(免费版)[1]

第1页(共8页) 第2页(共8页) 《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值 是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三

数字电子技术-4套期末试卷-含答案

《数字电子技术基础》(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。 7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分)

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电子技术基础1

数字电子技术基础 模拟卷1 一、单项选择题 1、将十进制数56转换成8421BCD 码应是: ( ) A 、(56)10= (0011 1000)8421BCD B 、(56)10= (0011 1001)8421BCD C 、(56)10= (0101 1000)8421BCD D 、(56)10= (0101 0110)8421BCD 2、使晶体三极管工作于饱和区的电压条件是: ( ) A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时,输出才为1,否则输出为0,这种逻辑关系叫做: ( ) A 、同或 B 、与非 C 、异或 D 、或非 4、在功能表中×的含义是: ( ) [ A 、表示高电平 B 、表示低电平 C 、高低电平都不可以 D 、高低电平都可以 5、下列4个电路中能实现AB L 逻辑关系的是: ( ) L A A ≥1 & B B B A L 1 L =1 B A =1 A B C D 6、TTL 门电路理论上的逻辑低电平为: ( ) A 、0V B 、 C 、 D 、 7、下列电路中不属于时序逻辑电路的是: ( ) A 、移位寄存器 B 、译码器 C 、随机存取存储器 D 、计数器 8、下列电路中无需外加触发信号就能自动产生方波信号的电路是: ( ) A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 D 、RS 触发器 …

9、下面对时序逻辑电路的描述不正确的是: ( ) A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态,存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K×4位,若要扩展存储容量为4K ×8位,需要几片2114 ( ) A 、4片 B 、2片 C 、8片 D 、16片 11、已知逻辑函数D C B A L ++?=,则其反函数F 为: ( ) A 、D C B A ??+ B 、D C B A ??+ C 、 D C B A ??+ D 、D C B A ??+ 12、5G7520为10位集成数模转换器,设参考电压V REF =10V ,R F =R ,当输入全1时,输出电压的绝对值为: ( ) { A 、25525610V ? B 、1102410V ? C 、1023102410V ? D 、1256 10V ? 二、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其号码分别填在题干的括号内。多选、少选、错选均无分。) 1、逻辑函数C B A B A L )(+=中,变量A 、B 、C 取哪些值时,L 的值为1。 ( ) ( ) ( ) ( ) A 、ABC 取011 B 、AB C 取101 C 、ABC 取000 D 、ABC 取111 2、描述触发器逻辑功能的方法有: ( ) ( ) ( ) ( ) A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 3、比较下列几个数的大小,正确的结果是: "

相关文档
相关文档 最新文档