文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑习题答案

数字逻辑习题答案

数字逻辑习题答案
数字逻辑习题答案

第1章

[题1.1]

(1)模拟,数字(2)逻辑真值表,逻辑函数式,逻辑图,卡诺图(3)正逻辑,负逻辑(4)脉冲幅度U m ,脉冲周期T ,脉冲宽度t w (5)占空比 [题1.2]

(1)c (2) d (3)d (4)a (5)c (6)b (7)b (8)a (9)c (10) [题1.3] (1)(215)D ,(D7)H (2)(100)D ,(64)H (3)(158.828125)D ,(9E.D4)H [题1.4] (1)(2942)D (2)(10010010.01110101)BCD [题1.5]

(1)原码和补码都是01001 (2)原码是11101,补码是10011 [题1.6]

(1)()[]

D C D C ++=+++=A C B A Y

(2)()

E A

D A C B A

E D C B A Y /++=+= (3)(

)()()(

)[

]

C B A BC A C AB C B A C A C B B A C B A C B A Y +++=++++++= [题1.7]

(1)()[]D C A D C C B A Y ++=+++='

(2)()()

D B AC C B D C C B B A Y ++=+++= [题1.8]略

[题1.9]

表1-18(a )对应的逻辑函数是C B A BC A C B A Y ++=,逻辑图为

表1-18(b )对应的逻辑函数是

D C C A C B D C AB D C AB D C B A D C B A D C B A D C B A D C B A Y ++=++++++=

[题1.10]

(1)BC A C B A C B A C AB ABC Y ++++= (2)MNQ Q N M Q N M Q N M NQ M Y ++++= [题1.11]

(1)C A C A B A C A C A B A Y =++= (2)B A B A Y =+= (3)C B A C B A Y ?=+=

(4)B AC B AC C B B A AC Y =+=++= [题1.12] (1)1=Y

(2)()

0=++=B A C B AD CD A B A Y

(3)()()

C B C A C B A C B A BC A C B A C B A BC A C B A Y +=+++=++= (4)1=Y

(5)Y=B A C A C B ++ (6)1=Y

(7)D AC C A D C Y ++= (8)D C D A B Y ++= [题1.13] (1)C B C A Y += (2)AC B A Y +=

(3)C B A D C A D C A C B A Y +++= (4)D AB CD A C B Y ++=

(5)D C A D C BD C A D A Y

++++=

(6)D B AC B A D B Y +++=

(7)BD D C A C B A D C A C B A Y ++++= (8)AB C B C A Y ++= (9)C Y

=

(10)D C D A B Y ++= [题1.14]

(1)D B A D C A AD Y ++= (3)C A Y +=

(4)D C D B B A Y ++= (5)C B C B D Y ++=

第2章

[题2.1]

(1)小规模集成门电路、中规模集成门电路、大规模集成门电路和超大规模集成门电路 (2)阈值电压或门槛电压 (3)噪声容限 (4)输入短路电流 (5)扇出系数

(6)高电平、低电平和高阻态

(7)连接在一起、一恒定逻辑值、逻辑1、逻辑0 [题2.2]

(1)d (2)b (3)b (4)b (5)B C (6)c (7)a [题2.3]

[题2.4]

[题2.5]

解:根据mA 8(max)OL =I 时V 25.0OL ≤V 的要求可得

204

.08

|I |8n IL ==≤

而根据V 2.3OH ≥V 时mA 4.0(max)OH -=I 又可求得

2002

.04

.0I 4.0I |I |n IH IH

max OH ===

')( 故G M 最多可驱动20个同样的反相器。 [题2.6]

解:当V 4.0OL O ==V v 时,可求得

106

.116

IL

(max)OL ==

I I n 当V 2.3OH O ==V v 时,可求得

504

.024

.02IH

(max)OH =?=

'I I n

故G M 最多可驱动5个同样的与非门。 [题2.7]

解:当所有的OC 门同时截止时,为保证输出高电平OH V 的值不低于3.6V ,L R 的选取不能太大。由此,得到L R 的最大值为

Ω=+-=

k 75.162IH

OH OH

CC (max)L I I V V R

当OC 门中只有一个导通时,此时,3个负载门各向OC 门提供一个IL I 电流,这些负载电流都流入导通的OC 门,因此,L R 的选取不能太小,以免流入OC 门的电流超过最大允许负载电流LM I ,由此得到L R 的最小值为

Ω=--=

k I I V V R 57.03IL

LM OL

CC (min)L

因此,L R 的取值应满足0.57k Ω≤L R ≤1.75 k Ω。 [题2.8]

0.47k Ω≤R ≤4.39 k Ω [题2.9]

这时相当于I2v 端经过一个20 k Ω的电阻接地。假定与非门输入端多发射极三极管每个发射结的导通压降均为0.7V ,则有 (1)I2v ≈1.4V

(2)I2v ≈0.2V (3)I2v ≈1.4V (4)I2v ≈0V (5)I2v ≈1.4V [题2.10]

(1)C B A C AB Y += (2)

[题2.11]

(1)213312321X X X CA X X X BC X X X AB Y ++= (2)

[题2.12]

Y1为高电平,Y2为低电平,Y3为低电平,Y4为高阻态,Y5为低电平,Y6为高阻态,Y7为低电平,Y8为低电平,Y9为高电平,Y10为低电平 [题2.13]

Y1为低电平,Y2为低电平,Y3为低电平,Y4为低电平[题2.14]

ABCDE Y=

1

E

D

C

B

A

Y+

+

+

+

=

2

A B C D E F

D E F

ABC

Y=

+

=

3

F

E

D

C

B

A

F

E

D

C

B

A

Y+

+

+

+

+

=

+

+

+

+

=

4

这种扩展输入端的方法不能用于TTL门电路。CMOS门电路的内部结构决定了其输入端的个数不能太多,由于其输入信号的范围较宽(0~V DD),因此,可以采用本题的扩展方式。而TTL门的输入输出信号的高低电平分别为3.6V和0.3V。

对于Y1来说,当A、B输入高电平,C、D、E任一端输入低电平0.3V时,二极管阳极的电位为1.0V,而TTL门的关门电平为0.8V,导致TTL与非门不能正常工作。

对于Y2来说,当C、D、E全部输入低电平0.3V时,3个二极管均截止。二极管的阴极通过100kΩ的电阻接地,此电阻大于TTL或非门的开门电阻,因此,无论A、B输入何值,输出均为0。

对于Y3和Y4来说,采用此连接方式后,不能满足TTL电路输出电平的要求,无法驱动后级TTL门工作。

[题2.15]

(a)错。TTL门电路不能直接驱动晶体管,否则在与非门输出高电平3.6V时,会将晶体管和门电路损坏。应在晶体管基极接一个电阻Rb,电路如图(a)所示。

(b)错。集电极开路门应用中必须在电源与其输出端之间加一个外接电阻,正确的电路如图(b)所示。

(c)错。原因同(a)。尽管晶体管有基极偏置电阻,但在门电路与晶体管之间没有限流电阻。应该为如图(a)或(b)所示。

(d)错。集电极开路门可以线与工作,但必须在电源与其输出端之间加一个外接电阻,如图(c)所示。

(e)错。由于TTL门电路采用推拉式输出方式,因此不能线与工作,应采用图(c)所示电路。

(f)错。三态门可以线与工作,但按输出逻辑函数,三态门的使能端应接高电平,如图(d)所示。

(g)错。根据TTL门电路的输入负载特性,通常开门电阻Ron取2kΩ左右,关门电阻Roff取0.9kΩ左右。图中电阻阻值应取大于2kΩ,如10 kΩ,如图(e)所示。

(h)错。图中电阻应小于0.9kΩ左右,如100Ω,如图(f)所示。

(i)错。按照图示电路,不能实现与非逻辑,应将图中三态门使能端设置为高电平,异或门接高电平一端接低电平0,如图(g)所示。

(j)对。TTL与非门多余不用的输入端可以接高电平、悬空或与其他输入连接,不能接地。TTL门电路输入端悬空相当于接高电平。

(k)错。TTL或非门多余不用的输入端可以接低电平或与其他输入连接,不能接高电平或悬空,应该为(h)所示电路。

(l)错。异或门只有两个输入端,3个变量的异或运算,需要两个异或门才能实现,

正确的电路是图(i )。

[题2.16]

??

?===0 1

1C Z C A Y ,, ?

??===1 0 2C Z C A Y ,,

C =1时,A Y =3;C =0时,3Y A =

第3章

[题3.1]

(1)组合逻辑,时序逻辑(2)该时刻的输入,电路原来的状态,记忆(3)数据分配器,数据选择器(4)相反 (5)滤波电容,选通脉冲,逻辑设计

[题3.2]

(1)b (2)b (3)c (4)d (5)b (6)a [题3.3]

F 的逻辑函数式为

()C

B A

C B A C B A AB C B A AB F ?⊕+⊕=?+++?++=

判断逻辑功能为:当A 、B 、C 三个输入变量中有奇数个1时,输出F=1,否则输出F=0,所以电路是一个3位二进制数的“判奇电路”。

电路实现:

[题3.4]

表达式为C B A C B A BC A C AB C B A C B A Y +++++=

或111时,Y=0;而A 、B 、C 取值不同时,Y=1。故这种电路称为“不一致”电路。

[题3.5]略 [题3.6]

表达式:

ACD BCD ABD ABC D C B D C A D B A C B A F +++++++=1

D C B D C A D B A C B A F 2+++=

逻辑图

[题3.7]逻辑表达式为

CD BD AD ABC CD BD AD ABC Y ???=+++=

逻辑图为

[题3.8]设一、二、三、四号病室按钮分别为K1、K2、K3、K4;一号、二号、三号、四号指示灯分别为L1、L2、L3、L4。若将K1接入优先编码器74LS148的7I ,K2,K3,K4分别接入6I 、5I 、3I ,其他输入端接高电平。则有

可得:

0121Y Y Y L = 02Y L = 13Y L = 24Y L =

电路连线如下图

[题3.9]

解:设按键按下为1,未按下为0;令开锁信号为K ,锁开为1,锁闭为0;报警信号为J ,

0。逻辑关系如真值表

(1)用门电路实现

()()()()C

B A m

C B A J CA BC AB m C B A K ⊕⊕==++==

∑∑7,4,2,1,,7,6,5,3,,

(2)用74LS138实现

()()()()7

4

2

1

7

6

5

3

7,4,2,1,,7,6,5,3,,m

m m m m C B A J m

m m m m C B A K ???==??==

∑∑

(3)用双4选1数据选择器74LS153实现

()()()()ABC

C B A C B A C B A m C B A J ABC C AB C B A BC A m C B A K +++==+++==

∑∑7,4,2,1,,7,6,5,3,,

可用一片双4选1数据选择器实现。若以A 、B 为输入地址,则对应输出K 的00=D ,

C D D ==21,13=D ;对应输出J 的C D D ==30,C D D ==2

1,所以电路如下图所

(4)用全加器实现

第(1)中的表达式中,输出J 相当于一个1位二进制全加器的全加和,输出K 相当于全加进位。所以用一个1位二进制全加器就可以实现该功能,逻辑图如下

[题3.10]为优先编码器(按高阶)的8421BCD 码的编码器

[题3.11]令ABC A A A =012则

??

??

???===6403

743127

51Y Y Y Z Y Y Y Y Z Y

Y Z [题3.12]

??

??

???++==++==++==Q P N M Q NP M PQ N M Y Y Y Z Q P N M Q P N M Q P N M Y Y Y Z NPQ

M Q P N M Q P N M Y Y Y Z 8633

95227

411 [题3.13] 将函数化为

11010?+?+?+?+?+?+?+?=ABC C AB C B A D C B A BC A D C B A D C B A C B A Z 令C A B A A A ===012,,,并令050==D D ,D D D ==41,D D =2,1763===D D D

[题3.14]

将函数化为

ABC C B A B A C B A Z +++=

令A A =1,B A =0,C D =0,11=D ,C D =2,C D =3 [题3.15]

上图的逻辑关系为

(

)

(

)

(

)

()

(

)

(

)

(

)

()7

0126012501240123012201210120012 D A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A Y +++++++=

[题3.16]

[题3.17]

解:设输入余3码为0123A A A A ,输出8421BCD 码为0123Y Y Y Y 。代码转换电路的真值表为

由表可以看出,输入、输出满足如下关系

001101230123-=A A A A Y Y Y Y

由于(-0011)对应的补码为(1101),因此有110101230123+=A A A A Y Y Y Y 用74LS283设计电路如下图,进位输出舍弃。

[题3.18] 电路如下图

当M =0时,012301230123Q Q Q Q P P P P S S S S +=

当M =1时,[]补0123123012301230123Q Q Q Q P P P P Q Q Q Q P P P P S S S S +=-= [题3.19]

[题3.20]

解:设两个5位二进制数分别为A (01234a a a a a )和B (01234b b b b b )。依据题意,将两个5位二进制数的高4位,即1234a a a a 和1234b b b b 分别接入比较器的数据输入端,将0a 和

0b 比较的结果()00b a Y >,()00b a Y <和()00b a Y =分别接入级联输入的()B A Y >,()B A Y <和()B A Y =端,其函数表达式为 ()0000b a b a Y => ()0000b a b a Y =< ()0000b a b a Y ⊕==

[题3.21]

解:由电路写出输出Y 的逻辑函数式为

D C C B D B A CD A Y +++=

(1)当0=B ,1==D C 时,A 变量的变化可能会导致竞争—冒险现象。 (2)当1==D A ,0=C 时,B 变量的变化可能会导致竞争—冒险现象。 (3)当0=A ,1==D B 时,C 变量的变化可能会导致竞争—冒险现象。 (4)当0==D A ,1=B 时,C 变量的变化可能会导致竞争—冒险现象。 (5)当1==B A ,0=D 时,C 变量的变化可能会导致竞争—冒险现象。 (6)当0==B A ,1=C 时,D 变量的变化可能会导致竞争—冒险现象。 (7)当0=A ,1==C B 时,D 变量的变化可能会导致竞争—冒险现象。 (8)当1==C A ,0=B 时,D 变量的变化可能会导致竞争—冒险现象。

第4章

[题4.1]填空题

(1)0,1,双稳态(2)RS,JK,D,T,T (3)特性表,特性方程,状态转换图(4)JK,D (5)置0,置1,保持,翻转

[题4.2]选择题

(1)c (2)d (3)d (4)d (5)a

[题4.3]

[题4.4]

[题4.5]

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑试卷及答案

计算机学院 第二学期《数字逻辑》 期未考试试卷 A 卷 学号 班级 姓名 成绩 一、填空(每空1分,共14分) 1、(21.5)10=( )2=( )8=( )16 2、若0.1101x =-,则[]x 补=( ) 3、十进制数809对应的8421BCD 码是( ) 4、若采用奇校验,当信息位为10011时,校验位应是( ) 5、数字逻辑电路分为( )和( )两大类 6、电平异步时序逻辑电路的描述工具有( )、( )、( ) 7、函数()()F A B C D =+?+的反函数是( ) 8、与非门扇出系数N O 的含义是( ) 9、若要消除函数(,,)F A B C AB AC =+对应的逻辑电路可能存在的险象,则应增加的冗余项是( ) 二、选择题(每空2分,共16分) 从下列各题的四个答案中,选出一个正确答案,并将其代号填入括号内 1、数字系统采用( )可以将减法运算转化为加法运算 A .原码 B .余3码 C .Gray 码 D .补码 2、欲使J-K 触发器在CP 脉冲作用下的次态与现态相反,JK 的取值应为( ) A .00 B .01 C .10 D .11 3、对完全确定原始状态表中的6个状态,A 、B 、C 、D 、E 、F 进行比简,若有(A ,B ),(D 、E )等效,则最简状态表中只有( )个状态 A .2 B .4 C .5 D .6 4、下列集成电路芯片中,( )属于组合逻辑电路 A .计数器74290 B .寄存器74194 C .三一八译码器74138 D .集成定时器5G555 5、设计一个20进制同步计数器,至少需要( )个触发器 A .4 B .5 C .6 D .20 6、用5G555构成的多谐振荡器有( ) A .两个稳态 B .两个暂稳态

《数字逻辑》试卷2013(样题1)参考答案

华南理工大学 网络学院 《数字逻辑》试卷 考试时间:(120分钟) 班级: 姓名: 总分数: 一、 选择题(每小题1分,) 1 有两个十进制数数字的8421BCD 编码是10010001,则它们的余3码是 A 。 A . 1100 0100 B .1001 0100 C . 1001 0011 D . 1111 0001 2 若输入变量A 、B 全为1时,输出F=1,则其输入与输出的关系是 B 。 A .异或 B .与 C .非 D .或非 3 二进制数1100转换成十六进制数是 D 。 A .12 B .A C .B D .C 4 在求逻辑函数F 的反函数时,下列说法错误的是 C 。 A .“·”换成“+”,“+”换成“·” B .原变量换成反变量,反变量换成原变量 C .原变量不变 D .常数中的“0”换成“1”,“1”换成“0” 5 逻辑表达式(A+B )·(A+C )= B 。 A .AB+AC B .A+B C C .B+AC D .C+AB 6 组合逻辑电路通常是由 A 组合而成。 A .门电路 B .计数器 C .触发器 D .寄存器 7 时序逻辑电路中一定包含 C 。 A .译码器 B .移位寄存器 C .触发器 D .与非门 8 逻辑表达式F=AB+A C ,则它的对偶逻辑表达式F '= D 。 A .))((C A B A ++ B .A+B ·A+C C .A+B ·A+C D .(A+B )(A+C ) 9 设A 、B 、C 为逻辑变量,若已知AB=AC ,则 D 。 A .B=C B .B ≥ C C .B ≠C D .以上都有可能 10 一位十进制计数器至少需要 B 个触发器。 A .3 B .4 C .5 D .6 11 时序电路中必须有 C 。 A .输入逻辑变量 B .计数器 C .时钟 D .编码器 12 同步时序电路的分析与设计的重要工具是 D 。 A .状态表和波形图 B .状态图和特征方程 C .特征方程与波形图 D .状态表和状态图

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

数字逻辑 习题与答案.(优选)

习题与答案 《数字逻辑与数字系统(第四版)》,白中英 第1章习题P30 7 证明下列等式 (2) AC AB C AB C B A ABC +=++ 证明: AB AC ABC C AB C B A ABC +=+++=左式 8 用布尔代数简化下列各逻辑函数表达式 (4) C AB C B BC A AC F +++= 解: BC BC BC A C B A BC C B A BC A ABC C B A C B BC A AC F =+=++=+++=++++=) () )(())()(( 9 将下列函数展开为最小项表达式 (1) )(),,(C B A C B A F += 解: ∑=+++++=+++++=+++=+++=+=() 7,6,5,4,1()()()()(),,(m C B A C B A C B A C B A C AB ABC C B A C B A C C B A C C AB C B A C B A B A AB C B A A B B A C B A C B A F 10 用卡诺图化简下列各式 (2) C B A D A B A D C AB CD B A F ++++= 解: C 由卡诺图知,D A B A F +=

(4) ∑∑ +=?)11,10,9,3,2,1()15,14,13,0(),,,(m D C B A F 解: A C AD AC B A F ++= 12 逻辑函数 A C C B B A X ++=,试用真值表、卡诺图、逻辑图、波形图表示该函数。 解:(1)真值表 (2)卡诺图 (3)逻辑图 (4)波形图 14 输入信号A ,B ,C 的波形如图P1.2所示,试画出电路输出F1、F2的波形图 B F C B A

数字逻辑电路试卷(附答案)

1.逻辑函数的两种标准形式分别为。 2.将2004个“1”异或起来得到的结果是(0)。 3.半导体存储器的结构主要包含三个部分,分别是(译码器)、(存储阵列)、(控制逻辑)。 4.A/D转换的四个过程是采样、保持、量化和(编码),其中采样脉冲的频率要求至少是模拟信号最高频率的(2)倍。 5.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为(5/128)v;当输入为10001000,则输出电压为(5*136/128)v。 6.就逐次逼近型和双积分型两种A/D转换器而言,(双积分型)的抗干扰能力强,(逐次逼近型)的转换精度高。 7.(61. 5)10 == (3D.8)16 = (10010001.1000)5421BCD; 8.已知某74ls00为2输入4与非门,I OL=22mA,I OH=2mA,I IL=2mA,I IH=40μA,则其低电平输出的扇出系数N OL=(11),其高电平输出的扇出系数N OH=(50); 9.函数的最小项表达式为F=(4.5.7),最大项表达式为(0.1.2.3.6) 10. 根据对偶规则和反演规则,直接写出的对偶式和反函数, Fd =(),=(); 11. 12.已知X=(-17),则X的8位二进制原码为(10001001),其8位二进制补码为(11110111); 13.T' 触发器的次态方程是(Qn+1 = ~Qn); 14.D触发器的次态方程是(); 15.根据毛刺的不同极性,可以将逻辑险象分为0型险象和1型险象,对于一个逻辑表达式,若在给定其它变量适当的逻辑值后,出现F= ()的情形,则存在1型险象;

数字逻辑试题与答案(独家)

一、单项选择题 1、触发器有两个稳态,存储8位二进制信息要_ A、2 B、8 C、16 D、32 2、下列门电路属于双极型的是_ A、OC门 B、PMOS C、NMOS D、CMOS 3对于钟控RS触发器,若要求其输出“0”状态不变,则输入的RS信号应为_ A、RS=XD B、RS=OX C、RS=X1 D、RS=1X 4、下列逻辑电路中为时序逻辑电路的是_ A、变量译码器 B、加法器 C、数码存储器 D、数据选择器 5、同步时序电路和异步时序电路比较,其差异在于后者_ A、没有触发器 B、没有统一的时钟脉冲控制 C、没有稳定状态 D、输出只与内部状态有关 6、要构成容量为4K*8的RAM,需要_片容量为256*4的RAM。 A、2 B、4 C、8 D、32 7、一个容量为1K×8的存储器有个存储单元。 A.8 B.8K C.8000 D.8190 8、寻址容量为16K×8的RAM需要根地址线。 A.4 B.8 C.14 D.16 E.16K 9、若R A M的地址码有8位,行、列地址译码器的输入端都为4个,则它们的输 出线(即字线加位线)共有条。 A.8 B.16 C.32 D.256 二、多项选择 10、逻辑变量的取值1和0可以表示_ A开关的组合,断开B、电位的高低 C、真与假 D、电流的有,无 11、在何种输入情况下,“或非”运算的结果是逻辑0__ A、全部输入是0 B、全部输如是1 C、任一输入为0,其他输入为1 D、任一输入为1 12、三态门输出高阻状态时,__是正确的说法。 A、用电压表测量指针不动 B、相当于悬空 C、电压不高不低C、测量电阻指针不动 13、下列触发器中,克服了空翻现象的有_ A、边沿D触发器 B、主从RS触发器 C、同步RS触发器 D、主从JK触发器 三、判断题 14、8421码1001比0001大。 15、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 16、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 17、优先编码器的编码信号时相互排斥的,不允许多个编码信号同时有效。 18、若两个函数具有相同的真值表,则两个逻辑函数必然相等。 19、D触发器没有记忆功能。 四、填空题 20、可以用____檫除EPROM中所存的信息。

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.(26.125)10=(11010.001)2 =(1A.2)16 2.(100.9375)10=(1100100.1111)2 3.(1011111.01101)2=( 137.32 )8=(95.40625)10 4.(133.126)8=(5B.2B )16 5.(1011)2×(101)2=(110111)2 6.(486)10=(010*********)8421BCD =(011110111001)余3BCD 7.(5.14)10=(0101.00010100)8421BCD 8.(10010011)8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。 21.函数D B AB Y +=的最小项表达式为Y = ∑m (1,3,9,11,12,13,14,15)。 22.约束项是 不会出现 的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F (A ,B ,C )=∏M (1,3,4,6,7),则F (A ,B ,C )=∑m ( 0,2,5)。 24.VHDL 的基本描述语句包括 并行语句 和 顺序语句 。 25.VHDL 的并行语句在结构体中的执行是 并行 的,其执行方式与语句书写的顺序无关。 26.在VHDL 的各种并行语句之间,可以用 信号 来交换信息。 27.VHDL 的PROCESS (进程)语句是由 顺序语句 组成的,但其本身却是 并行语句 。 28.VHDL 顺序语句只能出现在 进程语句 内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL 的数据对象包括 常数 、 变量 和 信号 ,它们是用来存放各种类型数据

数字逻辑试卷及答案

数字逻辑试卷及答案 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) =+的两种标准形式分别为()、 1.逻辑函数Y A B C ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量为5v。若只有最低位为高电平,则输出电压为 ()v;当输入为,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数 P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。(6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1: 地址输入数据输出 A3 A2 A1 A0 D3 D2 D1 D0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

数字逻辑_习题一_答案

〈习题一〉作业参考答案 1.4 如何判断一个7位二进制正整数A=a 1a 2 a 3 a 4 a 5 a 6 a 7是否是4的倍数。 答:只要a 6 a 7=00,A 即可被4整除。 1.10设[x]补=01101001,[y]补=10011101,求:1[]2x 补,1[]4x 补,1[ ]2y 补,1 []4 y 补,[]x -补,[]y -补。 答:(1)如[x]补=x 0x 1x 2…x n ,则1 []2 x 补= x 0x 0x 1x 2…x n-1. x n 。 所以,1[]2x 补=00110100.1,1[]4x 补=00011010.01,1 [ ]2 y 补=11001110.1,1 []4 y 补=11100111.01。 (2)如[x]补=x 0x 1x 2…x n ,[-x]补=012...1n x x x x +。 所以,[]x -补=10010111,[]y -补=01100011。 注意:公式(1)[x]补=x 0x 1x 2…x n ,则1 []2 x 补= x 0x 0x 1x 2…x n-1. x n (2)[x]补=x 0x 1x 2…x n ,[-x]补=012...1n x x x x + 一定要掌握。 1.11根据原码和补码的定义回答下列问题: (1)已知[x]补>[y]补,是否有x>y? (2)设-2n 0,则[x]补>[y]补。但显然x1000000, 即[x]补+10000>1000000。根据补码加法,则x 1=0,x 2~x 5任意。 或: [x]补=27+x ,所以x=[x]补-27 <-16,即11x 1 x 2 x 3 x 4 x 5<112,因此x 1 x 2 x 3 x 4 x 5<16。所以x 1=0,x 2 x 3 x 4 x 5任意。 1.16 完成下列代码之间的转换: (1)(0101 1001 1001 0111.0111)8421BCD =(5997.7)10。 (2)(359.25)10=(0110 1000 1100.01011)余3。 (3)(1010001110010101)余3=(0111 0000 0110 0010)8421BCD

2020秋西安电子科技大学《数字逻辑电路》大作业期末试题及答案

学习中心/函授站_ 姓名学号 西安电子科技大学网络与继续教育学院 2020 学年下学期 《数字逻辑电路》期末考试试题 (综合大作业) 题号一二三四总分 题分30 10 30 30 得分 考试说明: 1、大作业试题于2020 年10 月15 日公布: (1)毕业班学生于2020 年10 月15 日至2020 年11 月1 日在线上传大作业答卷; (2)非毕业班学生于2020 年10 月22 日至2020 年11 月8 日在线上传大作业答卷; (3)上传时一张图片对应一张A4 纸答题纸,要求拍照清晰、上传完整; 2、考试必须独立完成,如发现抄袭、雷同均按零分计; 3、答案须用《西安电子科技大学网络与继续教育学院标准答题纸》手写 完成,要求字迹工整、卷面干净。 一、单项选择题(每小题2 分,共40 分) 1、下列各数中与十进制数101 不相等的数是( D )。 A.(0100 0011 0100)余3BCD B.(141)8C.(1100101)2D.(66)16 2、八进制数(35)8的8421BCD 是( B )。 A.0011 1000B.0010 1001C.0011 0101D.0010 1100 3、为使与非门输出为1 则输入( A )。 A.只要有0 即可B.必须全为0C.必须全为1D.只要有1 即可 4、函数F AC BC AB与其相等的表达式是( B )。 A.BC B.C+AB C.AC AB D.AB

5、使函数F AB AC BC 等于 0 的输入 ABC 的组合是( C )。 A .ABC=111 B .ABC=110 C .ABC=100 D .ABC=011 6、四变量的最小项ABCD 的逻辑相邻项是( A )。 A .ABCD B .ABCD C .ABC D D .ABCD 7、函数F ABC B .C (A D )BC 的对偶式是( C )。 A . G (A B C )(B C )(AD B C ) B .G A B C (B C )AD B C C .G A B C (B C )(A D B C ) D .G A B C (B C )A D B C 8、F A B C ADE BDE ABC 的最简式为( A )。 A .1 B .ABC DE C .ABC D .A+BDE+CDE 9、 函数F AC BC AB 的最简与非式为( D )。 10、 函数F A B C A .B .D AC ACD AB .D 的最简与或式为 ( D )。 A .F BC AD B .F B C AD C .F AB AC BD D .F AB AC BD 11、 函数F (ABCD ) (0,1,3,4,5,7,12),约束条件为BCD ABD 0 ,其 最简与或非式是( C )。 A .F A .C AD BD B .F CD AB AD A . B . C . D . B A B A C B C B B A . C A B A . . A B C A

北邮数字逻辑期中试题与参考答案

邮电大学 《数字电路与逻辑设计》期中考试试题 2015.4.11 班级班序号 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、单项选择题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。)1.ECL逻辑门与TTL门相比,主要优点是抗干扰能力强。(╳)2.CMOS门电路在使用时允许输入端悬空,并且悬空的输入端相当于输入逻辑“1”。( ╳) 3.若对4位二进制码(B3B2B1B0)进行奇校验编码,则校验位C= B3⊕B2⊕B1⊕B0⊕1。(√) 4.根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电平匹配不存在问题(√) 5. 根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电流驱动能力不存在问题(╳) 表1-1常用的TTL和CMOS门的典型参数

6.当i j ≠时,必有两个最小项之和+0 m m=。(╳) i j 7. CMOS门电路的静态功耗很低,但在输入信号动态转换时会有较大的电流,工作频率越高,静态功耗越大。(╳) 8. 逻辑函数的表达式是不唯一的,但其标准的最小项之和的表达式是唯一的。(√) 9.用数据分配器加上门电路可以实现任意的逻辑函数。(√) 10.格雷BCD码具有单位距离特性(任意两个相邻的编码之间仅有一位不同)且是无权代码。(√) =++g,下列说法中正确的有 B 。11.关于函数F A C BCD AB C A. 不存在冒险; B. 存在静态逻辑冒险,需要加冗余项ABD和ACD进行消除; C. 存在静态功能冒险,需要加冗余项ABD和ACD进行消除; D. 当输入ABCD从0001→0100变化时存在静态逻辑冒险。 12.逻辑函数F=A⊕B和G=A⊙B满足关系D。

《数字逻辑电路》试题及答案

、填空题( 1-5小题每空 1分, 6-10 小题每空 2分,共20分) 1.(16.25) 10 = ( _____________________ ) 2 = ( ) 8 = ( ) 16 2.三态门输出的三种状态分别为:、和。 3.基本 RS 触发器的约束条件是______________ 。 4.多谐振荡器是一种波形_______ 电路,它没有稳态,只有两个_______ 。 5.把 JK 触发器改成 T 触发器的方法是____________ 。 6.F(A,B,C,D) A (B C (D E) ) 的对偶式为________________________________ 7.十进制数( -12 )的补码形式为_____________________ 。 8.某信号采集系统要求一片 A/D 转换器集成芯片在 1S内对 16 个热电偶的输出电压分时进行 A/D 转换。已知热电偶输出电压范围为 0~0.025V(对应 0~450 o C温度范围),需要分辨的温度为 0.1 o C,试问选用位的 A/D 转换器。 9.RAM 存储器地址线 4 条,数据线 8 条,其存储容量为_______ 。 10.写出下图有 ROM 构成的组合逻辑函数式 Y2= ____________________ 。 二、逻辑函数化简证明题(共 3题,共 20 分) 1.(6 分)用公式法化简下面逻辑函数为最简与或式F(A,B,C) (ABC) (AB ) 2.(6 分)证明下面逻辑恒等式,方法不限。(A C )(B D)(B D ) AB BC 3.(8 分)用卡诺图法求下面逻辑函数的反函数,用最简与或式表示。F(A,B,C,D) ABC ABD C D ABC ACD ACD

数字逻辑设计试题中文答案

2003数字逻辑考题 一填空题(每空1分,共15分) 1 [19]=[ 11010 ] (假设字长为5bit)Gray10 2 若X=+1010,则[X]=(00001010),[-X]=(11110110 ),(假设字长为8bit)补原 3 [26.125]=[ 1A.2 ]=[ 00100110.000100100101 ]8421BCD 10164 65进制的同步计数器至少有( 7)个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3)个触发器。 Q*?Q'工作,则JK触发器的激励方程应写为(1,1 );如果用6 要使JK触发器 按D触发器实'。Q )现这一转换关系,则D触发器的激励方程应写为( 。)在最简状态分配中,若状态数为n,则所需的最小状态变量数应为([logn]7 2个变量相异或n的个数为奇数个,则这1.W,若这n个变量中含有n 个逻辑变量A,B,C…8 )。1的结果应为( )输入的组合逻辑函数。8 4 )个(9一个256x4bit的ROM 最多能实现(18)个。条地址输入线,其内部存储单元有(210一个EPROM 有18。)(正逻辑)( A NAND B (AB)',其实现的逻辑函数为11所 示CMOS电路如图F=Fig.1+E D TT (每问二判断题2分,共10分)43F 个。2n的扭环计数器所需的触发器为n)计数模为(T 1 A T)若逻辑方程AB=ACB=C成立。成立,则2 ( F 2)一个逻辑函数的全部最小项之积恒等于1。 3 ( F B T 1与非门的未用输入端应连在高电平上。T )CMOS( 4 )Mealy型时序电路的输出只与当前的外部输入有关。( 5 F Fig.1 三(16分)1化简下列函数(共3分)6分,每题?????15,11,13,7,8,910,,FA,BC,D?,m0,23,)1 ????????145313121086DCBFA,,,?m1,,,,,?d0,,,)2

数字逻辑电路 吉林大学考试题库答案

数字逻辑电路 一、单选题 1.一块数据选择器有三个地址输入端,则它的数据输入端应有()C. 8 2. 已知,左式和右式的两个逻辑图分别是X和Y,产生竞争—冒险的是 A. X 3.同步计数器和异步计数器比较,同步计数器的显著优点是 A. 工作速度高 4. 下列说法正确的是() C. (3)是下降沿触发的主从触发器 5.组合逻辑电路通常由___ 组合而成 A. 门电路 6.一个T触发器,在T=1时,来一个时钟脉冲后,则触发器()D. 翻转 7.十进制数25用8421BCD码表示为 B. 0010 0101 8.十进制数555的余3码为 C. 100010001000 9.五个D触发器构成环形计数器其计数长度为 A. 5 10.四位比较器(74LS85)的三个输出信号A〉B,A=B,A<B中,只有一个是有效信号时,它呈现 B. 低电平 11.欲使D触发器按Qn + 1=Qn工作应使输入D= D. Q 12.和二进制码1100对应的格雷码是()C. 1010 13.在下列逻辑电路中不是组合逻辑电路的有 D. 寄存器 14.欲对全班53个同学以二进制代码编码表示,最少需要二进制的位数是()B.

6 15.十六路数据选择器的地址输入(选择控制)端有()个 C. 4 16.全部的最小项之和恒为 B. 1 17.与CT4000系列相对应的国际通用标准型号为 B. CT74LS低功耗肖特基系列 18.一个触发器可记录一位二进制代码它有()个稳态 C. 2 19.边沿式D触发器是一种()稳态电路 C. 双 20.优先编码器的编码 B. 不是唯一的 1.下列说法正确的是()C. 上述扩展需要一个二线-四线译码器 2.正逻辑是指 C. 高电平用“1”表示,低电平用“0”表示 3.下列说法不正确的是 A. 当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 4.设计一个6进制的同步计数器,需要()个触发器 A. 3 6.下列说法不正确的是() D. A/D转换除了存在选项B中的两种误差,就不存在其他误差了 8.相同为“0”不同为“1”它的逻辑关系是 C. 异或逻辑 9.四位DAC和八位DAC的输出最小电压一样大,那么他们的最大输出电压 B. 前者大于后者 10.下列逻辑电路中为时序逻辑电路的是 C. 数码寄存器 11.对于四变量逻辑函数,最小项有()个 D. 16 12.下列关于555定时器说法正确的是() B. 施密特触发器的回差电压?VT 与5号管脚有关

数字逻辑题目及答案

1.表示任意两位无符号十进制数至少需要()二进制数。 A.6 B.7 C.8 D.9 2.余3码对应的2421码为()。 A. B. C. D. 3.下列四个数中与十进制数(72)10相等的是( ) A.()2 B.()2 C.()2 D.()2 4.某集成电路芯片,查手册知其最大输出低电平UOLmax=0.5V,最大输入低电平UILmax=0.8V,最小输出高电平UOHmin=2.7V,最小输入高电平UIHmin=2.0V,则其高电平噪声容限UNH=( ) A.0.3V B.0.6V C.0.7V D.1.2V 5.标准或-与式是由()构成的逻辑表达式。 A.与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 6、对于TTL或非门多余输入端的处理,不可以()。 A、接电源 B、通过0.5kΩ电阻接地 C、接地 D、与有用输入端并联 7.下列四种类型的逻辑门中,可以用()实现三种基本逻辑运算。 A. 与门 B. 或门 C. 非门 D. 与非门 8.以下电路中可以实现线与功能的有()。 A.与非门 B.三态输出门 C.传输门 D.漏极开路门 9.要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为()。

A.JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶校验器,需要()个异或门。A.2 B. 3 C. 4 D. 5 11.相邻两组编码只有一位不同的编码是( ) A.2421BCD码 B.8421BCD码 C.余3码 D.循环码 12.下列电路中,不属于时序逻辑电路的是( ) A.计数器 B.全加器 C.寄存器 D.RAM 13.一个4位移位寄存器,现态为0111,经右移1位后其次态为( ) A.0011或1011 B.1101或1110 C.1011或1110 D.0011或1111 14.为了将正弦信号转换成与之频率相同的脉冲信号,可采用( ) A.多谐振荡器 B.移位寄存器 C.单稳态触发器 D.施密特触发器 15.一个6位地址码、8位输出的ROM,其存储矩阵的容量为( )bit. A.64×8 B.48 C.256 D.8 16.某8位DAC,当输入全为1时,输出电压为5.10V,当输入D=()2时,输出电压为( ) A.5.10V B.2.56V C.1.28V D.都不是 17.PROM是一种__________可编程逻辑器件。( ) A.与阵列可编程、或阵列固定的

相关文档
相关文档 最新文档