文档库 最新最全的文档下载
当前位置:文档库 › 数电课程设计十字路口交通灯综述

数电课程设计十字路口交通灯综述

数电课程设计十字路口交通灯综述
数电课程设计十字路口交通灯综述

数字电子技术

课程设计

题目:十字路口交通灯

院(系、部):机电工程学院

学生姓名:

指导教师:

2012年6月25日至2012年6月29日

摘要

交通信号灯常用于交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。交通灯控制器主要由控制器、秒脉冲发生器、定时器、译码显示电路及信号灯组成。控制器由74LS153与74LS74来实现,脉冲发生器用晶体震荡器产生,计数器采用两个74161来实现,显示电路经过74LS192的倒计数、七段显示译码器7447及七段数码显示器连接起来实现。控制器通过R T对定时器进行控制,其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变,从而实现数字的显示及绿、黄、红灯的转换。

关键词:控制器、秒脉冲发生器、定时器、译码显示电路、主支干道。

1、设计任务与要求

1.东西方向绿灯亮,南北方向红灯亮,时间15s。

2.东西方向与南北方向黄灯亮,时间5s。

3.南北方向绿灯亮,东西方向红灯亮,时间l0s。

4.如果发生紧急事件,可以手动控制四个方向红灯全亮。

2、方案设计与论证

2.1.总的方案设计

交通灯的设计是分主次干道的,两个方面的时间是不同的,东西方向通行15s,南北方向10s,这就要求我们要有两个计数器,东西方向通行15s完,倒计时数字显示器会显示到0,然后切换到南北方向通行10s完之后, 倒计时数字显示器也会显示到0之后然后切换到东西方向,如此循环,需要设计一个16进制和一个11进制的计数器,用两片74192芯片来构成对应进制的计数器,由于是15和10之间循环切换,我们可以用利用JK触发器的翻转功能来实现两种进制计数器之间的切换;

每个方向倒计时只有5s时,黄灯闪,一直到0为止,由于黄灯是当两个计数器倒计时到5时开始闪,在这时发出一个脉冲然后一直保持到0,或者是接收0~5这段时间的脉冲都可以控黄灯只在到了这段时间才亮;

对于紧急开关,我们可以控制在出现紧急情况时使用清零端使之清零,并且红灯直接接到电源,使之一直处于亮的状态。

2.2.总的原理图

图1交通灯控制原理图:

2.3工作状态

(1)正常运行时

首先倒计时预置数,通过秒脉冲源给倒计数器发送秒脉冲,倒计时器开始倒计时,驱动时间显示器显示,并且交通灯也正常运行,当倒计时器计到5s时,我们当然同时可以在时间显示器上看到,这时倒计时器驱动黄灯控制器,使正在亮绿灯方向的黄灯闪烁,当倒计时器计到0时,驱动计数进制转换器,使倒计时器预置为另一个进制,并同时控制和改变交通

灯的显示,其实就是计数进制转换器既可以完成进制转换,也同时充当了交通灯的转换功能.如此往复循环.

(2)紧急情况时

倒计时器一直处于清零状态,车辆通行的交通灯四个方向的红灯同时亮起.并且在出现紧急情况后恢复正常时通过紧急开关可以切换哪个方向先通行.

3、单元电路设计

3.1.倒计时计数器

这里是采用两片74192两片芯片构成16和11进制计数器,控制个位数字的74192的减计数控制端接1HZ的脉冲输入,其中输入端A、C是接在一起并接在进制控制器的输出端。其中两个74192的置数端是由控制十位数字的74192一个高位输出端QD通过一个非门接过来的,并且低位输入端A接高电平,控制十位数字的74192的减计数控制端接控制个位数字的74192的借位输出端BO。两个74192的清零端都接在紧急开关的一端。如下图:

图2 倒计时计数器

3.2.黄灯控制电路

这里是采用数据分配器74138,该芯片的输入端A、B、C 分别接倒计时计数器中的控制个位数字的74192的输出端QA、QB、QC,为了保证是在显示器只是在显示0~5s 时黄灯亮,应把此74138的使能端G1由倒计时计数器中的控制十位数字的74192的输出端QA与由倒计时计数器中的控制个位数字的74192的输出端QD通过一个或门再经过一个非门接过去。然后6输出端Y0、Y1、Y2、Y3、Y4、Y5都分别经过一个非门然后都接入一个或门,那么或门的输出端就是接黄灯的,也即黄灯控制器的输出端。如下图:

图3 黄灯控制电路

3.3.信号灯转换电路(计数进制转换器)

信号灯转换器其实就是由计数进制转换器来实现,即一个JK触发器,其中J、K端都同时接高电平,即构成了一个T’触发器,目的就是实现翻转功能,其时钟输入端是由倒计时计数器中的两片74192的八个输出端经过一个或门然后经过一个非门接入。如下图:

图4 信号灯转换器

3.4.交通灯显示电路

一个方向的红灯由信号灯转换电路的反向输出端~Q和一个紧急开关的输出端经过一个或门接入,黄灯是由信号灯转换电路的正向输出端Q和一个紧急开关的输出端经过一个非门以及一个黄灯控制电路的输出端经过一个1HZ的脉冲源,这三个输出端再经过一个与门接入,绿灯由信号灯转换电路的正向输出端Q和一个紧急开关的输出端经过一个非门,这两个输出端再经过一个与门接入;另一个方向的红灯由信号灯转换电路的正向输出端Q和一个紧急开关的输出端经过一个或门接入,黄灯是由信号灯转换电路的反向输出端~Q和一个紧急开关的输出端经过一个非门以及一个黄灯控制电路的输出端经过一个1HZ的脉冲源,这三个输出端再经过一个与门接入,绿灯由信号灯转换电路的反向输出端~Q和一个紧急开关的输出端经过一个非门,这两个输出端再经过一个与门接入.其中还加入一人行道的信号灯,这些灯的接法就是红灯接在相邻车辆信号灯的绿灯上,绿灯接在相邻车辆信号灯的红灯上即可,也就是该方向上的车辆通行,人行道就禁通行,反之,人行道通行.如下图:

图5交通等显示器

3.5.紧急开关

该开关这里用的是单刀双掷开关,一端就是接入每个交通灯,直接接红灯,其它灯是经

过了一个非门接入,该端还接入到倒计时计数器两片74192的清零端.另一端是不起作用,其实也可以用一个单刀单掷开关,该开关不仅能够在发生紧急事件,可以手动控制四个方向红灯全亮。在处理完紧急事件后,第一次开始进入正常运行状态时还可以通过它控制切换选择哪个方向开始先通行.

图7 紧急开关线路图

4、总原理图及元器件清单

4.1.总原理图

4.2.元件清单

五、结论与心得

经过一周的努力,我终于完成关于交通灯控制电路的电子课程设计,我积累了许多实际操作经验,已初步掌握了数电的应用技术,以及数字电路的知识和有关器件的应用,我深刻体会到了数子电路技术对当今现代社会的重要作用。经过这次设计,我学会了许多东西,学会了严密的思考,构想及怎样把计划付诸于实际行动之中。同时与社会的不断高速发展的步伐相比,我认识到自己所学的知识和技能还远远不足。

在这次课程设计中,我学会了如何有效的利用网络资源及图书馆的藏书,找到了几个很不错的专业网站,为以后的查阅专业方面的信息和相互之间的交流打下了坚实的基础,学会了如何看电路图,识别电路图,提高了自己的专业技能,同时也培养了自己独立解决实际问题的能力,也培养了自己认真和严谨的科学态度,收到了很大的启发,为以后的工作积累了些宝贵的经验。

六、参考文献

[1]高吉祥. 数字电子技术. 北京:电子工业出版社

[2]梁宗善. 电子技术基础课程设计[M]. 武汉:华中理工大学出版社

[3]李玲远,范绿蓉,陈小宇. 电子技术基础实验. 北京:科学出版社

[4]彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社

[5]康光华电子技术基础(数电部分)华中理工大学电子学教研室

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现 学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

数电实验报告

数电实验报告

《数字电子技术》 实验报告 姓名:*** 班级:****888

学号:2014*******8 指导老师:**** 编制时间:2016.06.10 北京联合大学 实验一基本集成逻辑门电路功能分析一、实验目的 1.理解TTL和CMOS普通门电路的参数含义。 2.掌握TTL和CMOS普通门电路的使用方法。 3.掌握分析普通门电路逻辑功能的一般方法。 4.理解TTL和CMOS普通门电路参数的一般分析方法。 二、实验元器件 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×1只 三、实验内容

(一) TTL 双四输入与非门74LS00功能分析 (1)逻辑功能分析 参考图1.1连接电路。一只74LS00芯片中含有四个相同的2输入与非门,可以随意选用,此处选用的是第一个门电路。检查电路无误时方可通电。 图1.1 与非门逻辑功能测试电路 变换单刀双掷开关J1和J2的状态,用直流电压表测试电路的输出电压,将测试结果记入表1.1中。 表1.1 输入 输出 U 1/V U 2/V 实测值 逻辑值 0 0 5 5 0 5 5 5 5 5 5 U1A 7400N J2Key = A J1 Key = B VCC 5V 0.000 V +-

5 5 0 0 (2)电压传输特性分析 依照图1.3编辑电路。在0~5V 间逐步调整输入的直流电压,将随之变化的输出电压记入表1.2中。 图1.3 分析与非门电压传输特性仿真电路 表1.2 U I /V U O /V U I /V U O /V U I /V U O /V U I /V U O /V 5.0 0 3.8 0 2.6 0 1.4 5 4.8 0 3.6 0 2.4 5 1.2 5 4.6 0 3.4 0 2.2 5 1.0 5 4.4 0 3.2 0 2.0 5 0.8 5 4.2 0 3.0 0 1.8 5 0.4 5 4.0 0 2.8 1.6 5 5 5.000 V +-VSS U1A 7400N V2 1.8 V

数字电路课程设计交通灯

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级: 2011级<1>班 姓名:陈 学号: 201103061 成绩: 指导老师:李海霞 开课时间: 2012-2013 学年二学期

一、设计题目 交通信号灯控制器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (一)、交通灯信号控制器仿真设计 设计要求 (1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为35s。时间可 设置修改。 (2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 (3)黄灯亮时,要求每秒闪亮一次。 (4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 (5)假定+5V电源给定。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写

五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

交通灯控制器课程设计实验报告

2011级课程设计实验报告 交 通 灯 控 制 器 院(系):计算机与信息工程学院 专业年级: 2011级通信工程一班 姓名: 谢仙 学号: 指导教师: 杨菊秋 2013年06月25日

目录 1 引言 (3) 2 任务与要求 (3) 3 课程设计摘要及整体方框图 (3) 4 课程设计原理 (4) 555定时器 (5) 七位二进制计数器4024 (6) 二进制可逆计数器74LS193 (8) 数码显示电路 (9) 结论 (10) 体会与收获 (10) 附录: 1、整体电路原理图 (11) 2、元件表 (12) 3、焊接与调试 (12) 1引言

交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、计数器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,计数器由74LS193和4024实现,译码电路采用74LS48和七段数码管来显示。 2设计任务与要求 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下; 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮。 3课程设计摘要及整体方框图 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 4 课程设计原理分析及相关知识概述

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

交通灯实验报告——数字电路

一、用中规模器件设计交通灯控制器 一、任务要求 1)通过数字电路的设计,在面包板上模拟交通红绿灯。要求分主干道和支干道,每条道上安装红(主R,支r)绿(主G,支g)黄(主Y,支y)三种颜色的灯,由四种状态自动循环构成(G、r→Y、r→R、g→R、y); 2)在交通灯处在不同的状态时,设计一计时器以倒计时方式显示计时,并要求不同状态历时分别为:G、r:30秒;R、g:20秒;Y、r,R、y:5秒。 二、总体方案 三、单元电路设计 1)主控电路 在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来。因2*2=4,所以可以两位二进制数表示所需状态(00—G、r, 01—Y、r, 10—R、g, 11—R、y),循环状态:(00—10—11—01—00) 数字电路课程中介绍的计数器就是通过有限几个不同状态之间的循环实现不同模值计数,由此可以尝试设计一模值为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路。在课程设计中本人利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。 主控电路图如下:

红绿灯显示电路接线如下: 4)计时部分电路 a)计时器状态产生模块: 设计要求对不同的状态维持的时间不同,而且要以十进制倒计时显示出来。限于实验 室器材本人采用两个74LS161完成计时器状态产生模块设计。 设计思路: 要以十进制输出,而又有一些状态维持时间超过10秒,则必须用两个74LS161分别 产生个位和十位的数字信号。显然,计数器能够完成计时功能,我们可以用74LS161设计, 并把它的时钟cp接秒脉冲。74LS161计数器是采用加法计数,要想倒计时,则在74LS161 输出的信号必须经过非门处理后才能接入数码管的驱动74LS48,而在显示是最好以人们 习惯的数字0---9显示计时,故在设计不同模值计数器确定有效状态时,本人以0000,0001,0010-----1111这些状态中靠后的状态为有效状态。 例如:有效状态1011—1100—1101—1110—1111 取反0100—0011—0010—0001—0000即4------3------2-------1------0实现模5的倒计时。 在将74LS161改装成其他模值时既可以采用同步清零法,也可采用异步置数法,但 0000不可能为有效状态,所以采用异步置数法完成不同模值转化的实现。 首先对控制个位输出的74LS161设计: 按要求对系统的状态不同,即红绿灯的状态不同,个位的进制也就要求不同。本人利 用系统的状态量Q 2、Q 1 控制74LS161的置数端D 3 D 2 D 1 D 。当系统处在G、r或R、g状态时, 个位的进制是十(模10),即逢十进一,当系统处在Y、r或R、y状态时,个位的进制是 五(模5),即逢五进一,模10时,有效状态为0110-----1111,置D 3D 2 D 1 D 为0110,模5

北京交通大学数电报告

国家电工电子实验教学中心 数字电子技术 实验报告 李含笑 15211069 通信1503班

实验二基础实验计数器设计 一、实验目的 1.掌握同步计数器集成芯片74LS193的功能和使用方法。 2.掌握用集成计数器实现任意模数计数器。 3. 用十六进制计数器74LS193设计五进制减计数器(置位法) 二、实验器件 一个74LS193计数器(图2-1),它具有异步清零与异步预置的功能,不受时钟脉冲的影响,一个74LS00与非门芯片 UP为加计数时钟输入端; DOWN为减计数时钟输入端; CLR为清零输入端; BO为借位输出端; CO为进位输出端; LOAD为置数输入端; A~B为并行输入端; Q A~Q D为计数输出端 图2-174LS193芯片管脚图 表2-2 74ls193功能表

三、实验内容和实验原理 74LS193 是一个异步清零,异步预置的可逆计数器,当UP接时钟端(上升沿触发),DOWN 接高电平的时候,实现加法计数,当DOWN接时钟端(上升沿触发),UP接高电平时,实现减法计数。 本实验实现模5减法计数,用预置法,74LS193是异步预置,因此要有6个状态,其中一个为暂存态(过渡态)。预置初值为0100,当其减至1111时,由于最高位接了与非门,因此与非门产生低电平0,送至LD端,LD低电平有效,进行预置,输出变为0100。 四、实验电路原理图 图2-2 实验仿真图 图2-3 实验仿真图

图2-4 五进制减法计数器原理图 五、实验过程 图2-5 图2-6 图2-7图2-8图2-9 六、数据分析和结论 同步计数器与异步计数器的区别在于异步计数器的的每个触发器不是由同一个脉冲来控制的,同步计数器的每个触发器都是由同一个脉冲来控制的

交通灯控制电路数电课程设计数字电路课程设计

——交通灯控制电路 目录 第一章:序言 (2) 第二章:设计任务书 (2) 第三章:电路组成和工作原理 (4)

第四章:设计步骤及方法 (7) 第五章:总结 (10) 第七章:参考文献 (10) 第一章序言 随着社会的飞速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,频繁发生交通问题,为了保证交通秩序和行人安全,一般在每条街上都有一组红、黄、绿交通信号灯。其中红灯亮,表示道路禁止通行;黄灯亮表示该道路上未过停车线的车辆禁止通行,已经过停车线的的车辆继续通行;绿灯亮表示道路允许通行。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。

第二章设计任务书 一、设计题目: 二、技术内容及要求: 三、给定条件及器件 四、设计内容 1.电路各部分的组成和工作原理。 2.元器件的选取及其电路逻辑图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问题,其原因及解决

的办法。 第三章电路组成和工作原理设系统工作的十字路口由主、支两条干道构成,4路口均设红、黄、绿三色信号灯和用于计时的2位由数码管显示的十进制计数器,其示意图如图5—1所示。 图1 十字路口交通信号灯控制示意图 根据交通规则,交通信号灯自动定时控制器所需实现的功能如下: (1)主、支干道交替通行,通行时间均可在0 ~ 99 s内任意设定。 (2)每次绿灯换红灯前,黄灯先亮较短时间(也可在0 ~ 99 s内任意设定),用以等待十字路口内滞留车辆通过。 (3)主支干道通行时间和黄灯亮的时间均可由同一计数器按减计数方式计数(零状态瞬间进行状态的转换,视为无效态)。 (4)在减计数器回零瞬间完成十字路口通行状态的转换(换灯)。

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

数电课设交通灯

太原理工大学

课程设计任务书 注:1.课程设计完成后,学生提交的归档文件应按照:封面—任务书—说明书—图纸的顺序进行装订上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 指导教师签名:日期:

专业班级 学号 姓名 成绩 一、 简述 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R )亮表示该条道路禁止通行;黄灯(Y )亮表示停车;绿灯(G )亮表示允许通行。 交通灯控制器的系统框图如图3.1所示。 图3.1 交通灯控制器系统框图 系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。 二、设计目的 通过本次课题设计,应该掌握以下内容 (1)学习数字逻辑电路设计的一般方法。 (2)要求学会用理论知识解决实际问题。 (3)灵活掌握部分74LS 系列集成电路的使用。 (4)掌握Multisim 仿真软件的应用。 (5)掌握常用元器件的识别与测量。 (6)了解实际电路调试和解决问题的基本方法。 三、总体方案设计 用定时器分别产生三个时间间隔后,向控制器发出“时间已到”的信号,控制器 ……………………………………装………………………………………订…………………………………………

根据定时器的信号,决定是否进行状态转换。如果肯定,则控制器发出状态转换信号ST,定时器开始清零,准备重新计时。 交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S0、S1、S2、S3表示。 S0状态:主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,主干道禁止车辆通行。当主干道绿灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态。 S1状态:主干道黄灯亮,主干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S2状态:主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S3状态:支干道红灯亮,支干道黄灯亮。此时主干道禁止车辆通行,支干道允许超过停车线的车辆通行,而未超过停车线的车辆禁止通行。当支干道红灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态------S0状态。 交 灯 状 态 转

交通灯控制逻辑电路设计实验报告

交通灯控制逻辑电路设 计实验报告 Standardization of sany group #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期: 交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这

时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。

数电实验报告

国家电工电子实验教学中心数字电子技术基础 实验报告 实验题目:中频自动增益控制数字电路的研究 学院:电子信息工程学院 班级: 学生姓名: 学号: 任课教师:骆丽 同组成员: 2015年11月17日

目录 1 设计任务要求 (1) 2 设计方案及论证 (1) 2.1 任务分析 (1) 2.2 方案比较 (3) 2.3 系统结构设计 (5) 2.4 具体电路设计 (8) 3 制作及调试过程 (16) 3.1.1 制作及调试过程 (16) 3.1.2 遇到的问题和解决方法 (17) 3.2.1 仿真过程 (17) 3.2.2 制作及调试过程 (19) 3.2.3 实验结果 (20) 3.2.4 遇到的问题和解决方法 (20) 4 实验研究与思考 (21) 5 总结 (23) 5.1 本人所做工作 (23) 5.2 收获体会 (23) 5.3 对本课程的建议 (23) 6 参考文献 (23)

1 设计任务要求 【实验目的】 1.掌握中频自动增益数字电路设计可以提高学生系统地构思问题和解决问题的能力。 2.通过自动增益数字电路实验可以系统地归纳用加法器、A/D和D/A转换电路设计加法、减法、乘法、除法和数字控制模块电路技术。 3.培养学生通过现象分析电路结构特点,进而改善电路的能力。 【基础实验】 (1)用加法器实现2位乘法电路 (2)用4位加法器实现可控累加(加/减,-9到9,步长为3)电路。最大数字和为两位10进制数18。(要求二进制转化为十进制电路设计不能用模块74185) 【发挥部分】 (1)设计一个电路,输入信号50mV到5V峰峰值,1KHZ~10KHZ的正弦波信号,输出信号为3到4V的同频率,不失真的正弦波信号。精度为8位,负载500Ω。 (2)发挥部分中,若输出成为直流,电路如何更改。 2 设计方案及论证 2.1 任务分析 【基础部分】 用加法器实现2位乘法电路 2位乘法电路是典型的组合电路。设两位二进制分别为A1,A0和B1,B0,输出为S3,S2,S1,S0。根据乘法展开式,如图2-1,要实现2位乘法电路,可以使用与门将两个信号相与来实现乘运算,再通过加法器实现加和运算。最后通过输入高低电平,在数码管上观察乘积结果进行验证。

数电交通灯课程设计报告

电子技术课程 设计报告 二 级 学 院 机械与电子工程学院 专 业 电气工程及其自动化 年 级 2014级 学 号 14106***** 学 生 姓 名 苏 运 指 导 教 师 杨** 完 成 时 间 2015 年12 月25 日 简易交通灯的设计

简易交通灯的设计 作者:苏运 指导老师:杨** 摘要:利用数码管,74LS48译码器、74LS192计数器、ne555、与非门、或非门、触发器、蜂鸣器和小彩灯等电子元件,制作简易交通灯,实现15秒倒计时开始后,到达5秒时红灯熄灭,黄灯闪烁同时蜂鸣器响,到达0秒时切换到另外两个方向的红绿灯亮的功能。经测试,系统达到红绿灯转换和显示的基本要求,具有电路美观稳定性高的优点。 关键词:数电交通灯;交通灯;简易交通灯;计数器

目录 1 设计要求及方案选择 (1) 1.1设计要求 (1) 1.2方案选择 (1) 2 理论分析与设计 (1) 2.1脉冲发生器电路的分析及设计 (1) 2.2定时器电路的分析及设计 (2) 2.3交通灯和蜂鸣器电路的分析及设计 (3) 2.4控制器电路的分析及设计 (4) 2.5数码显示电路的分析及设计 (4) 3电路设计 (5) 3.1脉冲发生器电路的设计 (5) 3.2定时器电路的设计 (6) 3.3交通灯和蜂鸣器电路的设计 (6) 3.4控制电路的设计 (7) 3.5码显示电路的设计 (9) 3.6电源开关和指示灯电路的设计 (9) 4系统测试实验 (10) 4.1调试所用的基本仪器清单 (10) 4.2软件仿真调试 (10) 4.3实物电路板的调试 (10) 4.4时钟脉冲信号的测定 (10) 4.5调试结果 (11) 4.6测试结果分析 (11) 5 总结 (12) 附录 (13) 附录A 仿真图 (13) 附录B 原理图 (13) 附录C PCB图 (14) 附录D 实物图 (14) 附录E 脉冲信号测试图 (15) 附录F 元件清单 (15) 参考文献 (16)

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实 现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。 三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

交通灯控制电路_数电课程设计_数字电路课程设计

——交通灯控制电路 目录

第一章:序…………………………………………(1-2) 第二章:设计任务书………………………………(2-4) 第三章:电路组成和工作原理........................(4-12)第四章:设计步骤及方法..............................(13-18)第五章:仿真过程故障排除...........................(18-22)第六章:总结.............................................(22-23)第七章:参考文献 (23) 第八章:致谢 (23)

毕业论文项目表

哈尔滨应用职业技术学院学生顶岗实习鉴定表

第一章序 数字电子技术基础是高等学校弱电类专业的一门重要技术基础课程。这门课程发展迅速、实用性和应用性强,侧重于逻辑行为的认知和验证。 随着社会经济的发展城市交通问题越来越引起人们的注意。人、车、路三者的关系协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导计算机综合管理系统,他是现代城市的交通监控系统指挥中最重要的组成部分。 同时也随着城市机动车辆的不断增加,许多大城市如北京,上海,南京等出现交通超负荷运行的情况,因此,自八十年代后,这些城市纷纷修建高速道路,在告诉道路完成的初期,它们也曾有效地改善交通情况。然而,随着交通量的快速增长和缺乏对告诉道路的系统研究和控制,告诉道路没有充分发挥初期的作用。而城市的高速道路在构造上的特写,也决定了城市告诉道路的交通状况必然受告诉道路与普通道路耦合出交通状况的制约。所以,如何才用何时得控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道和匝道,城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。为此,本次设计完成的就是交通灯设计。一下就是城乡交通灯控制系统的电路原理,设计计算和实验调试等问题来进行具体讨论。 第二章设计任务书 一、设计题目:交通灯控制电路 二、技术要求:

数电实验报告

《数字电子技术》 实验报告 姓名:*** 班级:****888 学号:2014*******8 指导老师:**** 编制时间:2016.06.10 北京联合大学

实验一基本集成逻辑门电路功能分析 一、实验目的 1.理解TTL和CMOS普通门电路的参数含义。 2.掌握TTL和CMOS普通门电路的使用方法。 3.掌握分析普通门电路逻辑功能的一般方法。 4.理解TTL和CMOS普通门电路参数的一般分析方法。 二、实验元器件 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×1只 三、实验内容 (一)TTL双四输入与非门74LS00功能分析 (1)逻辑功能分析 参考图1.1连接电路。一只74LS00芯片中含有四个相同的2输入与非门,可以随意选用,此处选用的是第一个门电路。检查电路无误时方可通电。 图1.1 与非门逻辑功能测试电路

变换单刀双掷开关J1和J2的状态,用直流电压表测试电路的输出电压,将测试结果记入表1.1中。 表1.1 (2)电压传输特性分析 依照图1.3编辑电路。在0~5V间逐步调整输入的直流电压,将随之变化的输出电压记入表1.2中。 U1A 图1.3 分析与非门电压传输特性仿真电路 表1.2

实验二组合逻辑电路分析与设计 一、实验目的 1.掌握SSI组合电路的基本设计方法。 2.掌握SSI组合电路的基本分析方法。 3.了解排除组合电路故障的一般方法。 二、实验元器件 四异或门 74LS86×1片 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×4只 发光二极管 4只 三、实验内容 (一)分析“三个开关控制一盏灯”电路 根据图2.1所示的引脚接线图连接实验电路。74LS86中有四个异

数电实验报告(含实验内容)

数电实验报告(含实验内容) 班级:专业:姓名:学号:实验一用与非门构成逻辑电路 一、实验目的 1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法 2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能 二、实验设备及器材 KHD-2 实验台 集成 4 输入2 与非门74LS20 集成 2 输入4 与非门74LS00 或CC4011 三、实验原理 本实验用的逻辑图如图 2-1 所示 图1-1 图1-1 四、实验内容及步骤 1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。 2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。 3、用与非门实现以下逻辑函数式,测试其逻辑功能,

将结果填入表1-3中。 Y(A,B,C)=A’B+B’C+AC 班级:专业:姓名:学号:五、实验预习要求 1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线 2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。 六、实验报告 1、将实验数据整理后填入相关的表格中 2、分别说明各逻辑电路图所实现的逻辑功能 A B C Z A B C Y 表1-1 表1-2 A B C Y 表1-3 班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的设计与测试方法 2、进一步熟悉常用集成门电路的逻辑功能及使用 二、实验设备及器材 KHD-2 实验台 4 输入2 与非门74LS20 2 输入4 与非门74LS00 或CC4011

三、实验原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。设计组合电路的一般步骤如图2-1 所示。 图 2-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 四、实验内容及步骤 1、用与非门设计一个数码转换电路,将一个三位二进制码转换成3 位格雷码。即当输入信号为三位二进制代码时其输出为相应的3 位格雷码。要求: 1)分析逻辑功能,作出真值表,写出逻辑表达式。 班级:专业:姓名:学号: 2)简化逻辑表达式,画出逻辑图 3)按逻辑图连接逻辑电路并测试其逻辑功能。 2、用与非门设计一个一位的数值比较器,即比较两个1 位的二进制数A、B 的大小,假定当A>B 时,1 号灯亮,AB 时,1 号灯亮,A

数电综合实验报告2--交通灯

数电综合实验报告2--交通灯

数字逻辑与数字系统设计综合实验二——十字路口交通灯自动控制器的设计 学院电子工程学院 班级卓越001012班 学号00101201 姓名冉艳伟 实验时间2012.6.8

十字路口交通灯自动控制器的设计 一、实验目的 学习QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。 二、设计任务 设计一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行。 东西干道 图1 路口交通管理示意图

三、实验要求 (1)按照设计任务设计,采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。交通灯用发光二级管模拟,观察交通灯的运行是否正常,如果不正常,排除故障直至正确为止。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。 要求:必须用可编程器件实现电路功能。可以是原理图,也可以是VHDL代码,也可以混合输入。 五、设计说明 (1)第一模块:CLK时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作,故对1MHz的时钟信号进行1000000分频。 模块说明: 系统输入信号: CLKi: 由外接信号发生器提供1MHz的时钟信号; 系统输出信号: CLK:产生每秒一个脉冲的信号; (2)第二模块:模90倒计数器

北京邮电大学数字电路实验报告

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能,并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器能够由两个半加器和一个或门构成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表 示式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)(

相关文档
相关文档 最新文档