文档库 最新最全的文档下载
当前位置:文档库 › 最新《电子线路》异步二进制计数器教案

最新《电子线路》异步二进制计数器教案

最新《电子线路》异步二进制计数器教案
最新《电子线路》异步二进制计数器教案

异步二进制计数器

【教学目标】

1知识目标:

(1)理解异步二进制计数器的功能;

(2)掌握异步二进制计数器的电路结构;

(3)理解异步二进制计数器的工作原理。

2、能力目标:

(1)提咼实践动手能力;

(2)提高思考问题、分析问题的能力。

3、情感目标:激发学习兴趣。

【教学重难点】

重点:

(1)异步二进制计数器的功能;

(2)异步二进制计数器的电路结构;难点:

(1)仪器使用、实践技能;

(2)异步二进制计数器的工作原理。

【授课方式】

理实一体化

【教学过程】

【复习引入】

这节课我们来学习一种常见的时序逻辑电路,叫做计数器。计数器是怎样构成的,它能实现什么功能呢?今天我们通过做一个实验,让大家从实验中来发现和总结计数器的功能和工作原理。

做实验之前,我们首先来复习一下JK边沿触发器及其逻辑功能:

CP 脉冲的有效触发边沿是它的什么边沿?

2、置0端和置1端是什么电平或脉冲有效?

(低电平)

触发器正常工作时,置0端和置1端应给予高电平还是低电平? (咼电平) 3、 T TL 数字集成电路输入端悬空可视为输入什么?

(咼电平)

4、 JK 触发器的逻辑功能?填入上表。特别注意当 JK 输入都为1时,触发器实现 的是什么功能?

【新课】 一、实践准备:

(一) 实验器材:

异步二进制计数器实验电路板一块、 EE1640C 函数信号发生器/计数器一台、

YJ56-1双路稳压电源一台、万用表一架、导线、电烙铁及焊锡。

(二) 认识电路板:

1、双JK 触发器集成电路74LS112的管脚排列:

2、请同学们对照管脚排列图理解元件接线图:

1、观察图中符号, (下降沿)

(2)两个集成块里包含着四个 JK 边沿触发器:四组JK 输入端和S D 直接置1端

接高电平;四个R D 直接置0端(直接复位端)相连并与复位开关相连;四个 CP 脉 冲输入的位置;四个Q 输出的位置;

(3)四个发光二极管及其限流电阻

3、电路板实物图:

(三)仪器准备:

1、 调节电源:

打开双路稳压电源,取其中一路,用万用表调出准确的

2、 调节信号源:采用单脉冲输出

(1) 电源正极和电源负极接线夹脚及分布线;

U-J7 j " J:27:J

二->7;

■■

- -\L J J J J J J

J J J J 7 7 7 J J □ □

7 7 1

「72

L>

-

」:::::

:」:二

5V 电源电压

pm 沁甜 ggg gg0 口口

?C=3?

0g0 g 口 g ggg g 口口

口口口 g 口口

理去=>小

F* 拎:= ^31 二》C=3

1

?pr:二:.

;日羽:二)

e=o

1B1

ggg ggg C=OC=OC=3

R B 口 匕口口

ggCzO

“」*

70

7 n : : 1.;<1J 心 70 二

.777*J-J J J:J 」

:::W77QA

7:

『:-

:7 U

7"

:K:

」二 J O H 」 二口」

77」03

7:0」

7: 1 /*J a

打开信号发生器,输出电缆线接“单脉冲输出”端,波形选择方波,其它所有旋钮和按钮全部置于关闭或不作用的状态。(输出的单脉冲幅度为5V,该波形参数为内部设定,与仪器板面显示参数无关。)

按动信号源上的单脉冲按钮,注意观察单脉冲按钮上方的指示灯,灯亮表示此时输出高电平,灯灭表示此时输出低电平,当灯从灭到亮时出现了一个上升沿,当灯从亮到灭时出现了一个下降沿。

(四)电路板接线:

1供给电源:

用两根鳄鱼夹导线分别将调好的一路电源的正极接线柱与电路板的正极连接,负极接线柱与电路的负极连接。

2、输入CP:

将信号源输出电缆线的红色鳄鱼夹与电路板的正极连接,黑色鳄鱼夹与电路板的负极连接。

二、单个JK触发器的计数功能:

(一)实践操作

1、复位按钮的作用:多次重新连接CP脉冲输入,每次都按一下复位按钮,可看到什么现象?可见复位按钮起什么作用?(解释常开开关)

观察当电路板的输入CP脉冲出现上升沿时,发光二极管的亮灭情况有没有变化?当输入CP脉冲出现下降沿时呢?这说明了什么?

(二)思考:

1、此时触发器J、K端输入什么电平?触发器实现什么功能? 答:JK端输入高电平;

触发器实现翻转功能。

2、观察电路板,完成以下原理图:

3、根据电路原理图,完成工作波形图,观察对比实验记录的工作状态表和工作波形图,两者是否一致?

Qo

(三)小结:

JK触发器通过翻转功能实现对CP脉冲个数的计数,即每来一个脉冲,触发器翻转一次。但单个JK触发器只能实现一位二进制数的记录,即只能记录0到1个CP 脉冲输入,可被视为一个最简单的二进制一位计数器。

(四)问题:怎样实现对更多个CP的计数呢?

提示:二进制数逢二进一,进位后需要多1个位数来表示数值,如十进制数(2)10就需要一个二位二进制数来表示成(10)2。

三、用2个JK触发器组成的计数器:

(一)实践操作:

1、改装电路:用一根短导线将IC1的第5脚和第13脚相连接

2、复位(清零)。

3、手动输?入CP,,填写工作状态表:

CP个数Q1Q0N

0000

1011

2102

311 3 :

4000

LED

IK

1J

1Q1K

1Q

IR D

CP

K

T

IK +5V

1 I—o

实验四、 计数器的设计 电子版实验报告

实验四:计数器的设计 实验室:信息楼247 实验台号: 4 日期: 专业班级:机械1205 姓名:陈朝浪学号: 20122947 一、实验目的 1. 通过实验了解二进制加法计数器的工作原理。 2. 掌握任意进制计数器的设计方法。 二、实验内容 (一)用D触发器设计4位异步二进制加法计数器 由D触发器组成计数器。触发器具有0和1两种状态,因此用一个触发器 就可以表示1位二进制数。如果把n个触发器串起来,就可以表示N位二进制 数。(用两个74LS74设计实现) (二)利用74LS161设计实现任意进制的计数器 设计要求:学生以实验台号的个位数作为所设计的任意进制计数器。 先熟悉用1位74LS161设计十进制计数器的方法。 ①利用置位端实现十进制计数器。 ②利用复位端实现十进制计数器。 提示:设计任意计数器可利用芯片74LS161和与非门设计,74LS00为2输 入与非门,74LS30为8输入与非门。 74LS161为4位二进制加法计数器,其引脚图及功能表如下。

三、实验原理图 1.由4个D触发器改成的4位异步二进制加法计数器 2.由74LS161构成的十进制计数器

四、实验结果及数据处理 1.4位异步二进制加法计数器实验数据记录表 2. 画出你所设计的任意进制计数器的线路图,并说明设计思路。

设计思路:四进制为四个输出Q3Q2Q1Q0=0000,0001,0010,0011循环,第一个无效状态为0100 1,置位法设计四进制计数器:当检测到输入为0011时,先输出显示3,然后再将D 置于低电位,计数器输出Q3Q2Q1Q0复位。 2,复位法设计四进制计数器:当检测到第一个无效状态0100时,通过与非门的反馈计数器的Cr首先置于低电平使计数器复位为0000。 五、思考题 1. 由D触发器和JK触发器组成的计数器的区别? 答:D触发器是cp上升沿触发,JK触发器是下降沿触发。 2. 74LS161是同步还是异步,加法还是减法计数器? 答:同步。加法计数器。 3. 设计十进制计数器时将如何去掉后6个计数状态的? 答:加一个与非门形成负反馈。当计数到第一个无效状态Q3Q2Q1Q0==1010时,Q3和Q1全为1,Q1,Q3接与非门,输出作为复位信号,使所有触发器复位,从而去掉了后6个状态。

三位二进制减法计数器与74193芯片仿真63进制减法计数器

目录 1 课程设计的目的与作用 (1) 1.1课程设计目的 (1) 2 所用multisim软件环境介绍 (1) 2.1 Multisim软件环境介绍 (1) 2.2 Multisim软件界面介绍 (2) 3设计任务 (3) 3.1设计的总体框图 (3) 3.1.1三位二进制减法计数器的总体框图 (3) 3.1.2 串行序列信号检测器的总体框图 (4) 3.1.3 74193芯片仿真63进制减法计数器原理 (4) 3.2设计过程 (4) 3.2.1 三位二进制同步减法计数器 (4) 3.2.2串行序列信号检测器 (6) 3.2.3 74193芯片仿真63进制减法计数器 (7) 4实验仪器 (7) 4.1三位二进制减法器 (7) 4.2串行序列检测器 (7) 4.3 74193芯片仿真63进制减法器计数 (7) 5仿真结果分析 (8) 5.1三位二进制同步减法计数器的电路原理图及结果 (8) 5.2串行序列信号检测器电路原理图及结果 (11) 5.3 74193芯片仿真63进制减法计数器的电路原理图及结果 (13) 6设计总结和体会 (14) 7参考文献 (15)

1 课程设计的目的与作用 1.1课程设计目的 1.通过Multisim的仿真设计,掌握Multisim软件的基本使用方法; 2.学会在multisim环境下建立电路模型,能进行正确的仿真; 3.通过Multisim的仿真,熟练掌握三位二进制同步加法计数器和串行序列检测器电 路,10000串行序列检测器电路设计; 4.学会分析仿真结果的正确性,与理论计算值进行比较; 5.通过课程设计,加强动手,动脑的能力。 2 所用multisim软件环境介绍 2.1 Multisim软件环境介绍 Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础 的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了 电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的 仿真分析能力。 Multisim 10 启动画面图 工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。凭借NI Multisim,您可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI LabVIEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。 突出优点

高中信息技术《十进制与二进制间的转换》优质课教学设计、教案

十进制与二进制间的相互转换——教学设计 一、【教材分析】 本节课为教科版《网络技术应用》第二章《因特网的组织与管理》第二节内容之前的知识拓展,在教材中没有具体讲解,可是本课内容是高中信息技术学业水平考试中的考点,同时也是信息技术教学中的一个常规知识点。是第二节《IP 地址及其管理》知识的基础与铺垫,在教学中起着承上启下的作用。 二、【学情分析】 高二学生本就具有一定的理解能力,同时具备一些网络应用方面的知识,但是对网络理论的学习还需加强。以高二学生现在的认知结构还不是很容易理解,而且直接引入什么“按权相加”的方法,学生必定听得一头雾水。因此,本课时由浅入深,首先给出这些概念以帮助学生更好地理解和接受、消化吸收本节课的知识。 三、【教学目标】 1.知识与技能 (1)了解二进制及十进制的数值特点 (2)熟练掌握十进制和二进制的转换方法; (3)(3) 2.过程与方法 (1)能够正确理解数制的转换原则并运用到实际中去。 (2)在学习过程中让学生感受到探索知识的快乐。 3.情感态度与价值观 (1)联系实际,学习十进制与二进制的转换,激发学生的学习兴趣。 (2)在学习过程中发现自己的价值。 四、【教学重点】 十进制数与二进制数的转换方法。 五、【教学难点】 学生通过探索与实践操作掌握二进制数与十进制数的转换,做到本学科与数学学科的完美结合。 六、【教学策略】 1.情景激学法:通过提问日常生活中最常用到的进制是什么,吸引学生的兴趣和注意力。

2.多媒体演示教学法:通过展示课件,调动学生多种感官,这样也使得课堂生动,更易于学生理解。 3.实践教学法:在教学过程中请同学参与提高师生互动性,这样也可以做到及时反馈,增强学生理解问题、解决问题的能力。 七、【教学过程】 教学过程1.导入 (在上课之前在大屏幕上打出我们日常生活中最常用到的进制是什么?的题目 来调动学生的兴趣) 师:相信大家已经看到了大屏幕上的日常生活中最常用到的进制是什么? 生:(异口同声)十进制 师:用小故事展示十进制的由来,介绍实际应用中除了二进制,还有其他数制, 例如: 一小时(60 分钟) 一天(24 小时) 一年(365 天) ...... 2.十进制数和二进制数的结构及其重要参数 师:那么现在我们来看十进制数的结构。首先先来看十进制数的基本数字有哪 些? 生:(思考、有些迷茫)应该就是1 到10 吧(有的学生小声说) 师:谁知道,大声说出来。 生:应该是1 到10 吧。生: 不对,应该是0 到9。 生:…… 师:有的同学刚才已经说出来了,其实,十进制数就是我们日常生活中用到的 数字。 师:那么大家想想十进制数都是由那些数字组成的呢? 生:1 到10;0 到9 …… 师:有的同学说是1 到10,有的同学说是0 到9,那么到底哪个对呢? 生:应该是0 到9,因为10 也是由1 和0 组成的。 生:哦,对呀! 师:对!十进制数就是由0 到9 这十个数字组成的,这也是十进制数的成员。 师:除了组成成员外还有一个问题就是进位规则,也就是说十进制数是逢几进

二进制与十进制的转换(教案)

二进制与十进制的转换教案 【教学目的与要求】 1、熟悉数制的概念; 2、掌握位权表示法; 3、熟练掌握二进制与十进制之间的转换方法。 【课时安排】1课时。 【教学重点与难点】 1、难点:位权表示法十进制转化为二进制 2、重点:二、十进制间相互转换 【教学过程】(以下教师的语言、活动简称“师”,学生的活动简称“生”) (一)新课导入 生:加减乘除 师:对,我们最开始学习的就是十以内的加法,之后是两位数的加法,在两位数加法的学习中,老师是不是经常会说,要注意逢十进一?也就是我们平常说的别忘了进位。 (PPT展示)像这样按进位的原则进行记数的方法叫做进位记数制。“进位记数制”简称为“数制”或“进制”。我们平时用的最多的就是十进制了 那么,大家再想一下,还有没有其他的进制呢?比如:小时、分钟、秒之间是怎么换算的?生:1小时=60分钟1分钟=60秒 师:那我们平时会不会说我做这件事用了90分钟呢?不是吧,我们一般会说,用了一个半小时,也就是说:逢60进一,这就是60进制。 (PPT展示)由此可以推断出:每一种数制的进位都遵循一个规则,那就是——逢N进1。这里的N叫做基数。所谓“基数”就是数制中表示数值所需要的数字字符的总数,比如,十进制中用0——9来表示数值,一共有10个不同的字符,那么,10就是十进制的基数,表示逢十进一。 师:下面我们再引入一个新概念——“位权”,什么是位权呢?(PPT展示)大家看一一这个十进制数:1111.111,这7个1是不是完全一样的呢?有什么不同呢?第一个1表示1000,第二个1表示100,……

那么,这个“若干次”是多少呢?有没有什么规定呢?大家观察一下这个例子,以小数点为界,整数部分自右向左,依次是基数的0次、1次、2次、3次幂。小数部分,自左向右,分别是基数的-1次、-2次、-3次幂。 大家再看一下:2856.42这个十进制数,它的值是怎么算出来的呢? 这就叫做按权相加法。也就是让每一位上的数字字符乘以它所代表的权。那么,这种方法有什么用呢?这就是本节课的重点内容。 (二)数制转换 大家都知道,计算机运算时采用的是二进制,但人们在使用计算机解决实际问题时通常使用十进制,这就有一个十进制向二进制转换或由二进制向十进制转换的过程。 也就是说,在使用计算机进行数据处理时首先必须把输入的十进制数转换成计算机所能接受的二进制数;计算机在运行结束后,再把二进制数转换为人们所习惯的十进制数输出。这种将数由一种数制转换成另一种数制称为数制间的转换。 二进制的特点:只有二个不同的数字符号:0和1;逢二进1 1)二进制转十进制

四位二进制加法计数器课程设计

成绩评定表 学生姓名郝晓鹏班级学号1103060129 专业通信工程课程设计题目四位二进制加法 计数器 评语 组长签字: 成绩 日期20 年月日

课程设计任务书 学院信息科学与工程学院专业通信工程 学生姓名郝晓鹏班级学号1103060129 课程设计题目四位二进制加法计数(缺0010 0011 1101 1110) 实践教学要求与任务: 1、了解数字系统设计方法。 2、熟悉VHDL语言及其仿真环境、下载方法。 3、熟悉Multisim仿真环境。 4、设计实现四位二进制加计数(缺0010 0011 1101 1110) 工作计划与进度安排: 第一周:熟悉Multisim及QuartusII环境,练习数字系统设计方法。包括采用触发器设计和超高速硬件描述语言设计,体会自上而下、自下而上设计 方法的优缺点 第二周:1.在QuartusII环境中仿真实现四位二进制加计数(缺0100 0101 1001 1010 )。 2.在Multisim环境中仿真实现四位二进制加计数,缺(0100 0101 1001 1010),并通过虚拟仪器验证其正确性。 指导教师: 201 年月日专业负责人: 201 年月日 学院教学副院长: 201 年月日

摘要 本文采用在MAXPLUSⅡ环境中用VHDL语言实现四位二进制加法计数(缺0010 0011 1101 1110),在仿真器上显示结果波形,并下载到目标芯片上,在实验箱上观察输出结果。在Multisim环境中仿真实现四位二进制加法计数器(缺0010 0011 1101 1110),并通过虚拟仪器验证其正确性。 关键词:MAXPLUSⅡ环境;VHDL语言;四位二进制加计数;Multisim环境

二进制与计算机教学设计说明

教学设计:《二进制与计算机》 一、教材分析 本内容选自广州市教育局教学研究室2013年新编的《信息技术》初中第一册第一章《信息与信息技术》中第3节《计算机的基本工作原理》中的第二小节。二进制是计算机工作的基本形式,也是计算机理论知识中的最基本的原理,对于信息技术的学习及了解计算机的工作原理具有不可忽视的奠基作用。原教材以一小节的篇幅介绍二进制,只解答了计算机为什么要采用二进制,语焉不详,内容也相对抽象不易理解,难以引起学生的兴趣和重视。有鉴于此,笔者单独以一课时的时间介绍这一相关知识。 二、教学对象分析 本课教学对象为初一的学生。初一的学生活泼好动,但其逻辑思维能力和抽象思维能力相对较弱,对于二进制的工作原理不一定能够直观地理解,所以,笔者在教学设计中,以活动为主线,环环相扣,让学生在游戏中不断体悟二进制的妙用。 三、教学目标 (一)知识与技能:学会二进制数与十进制数之间的转化,认识计算机表示字符的原理,认识计算机描述图片的原理。 (二)过程与方法:通过模拟活动体会到计算机对字符的表示方法,通过设计图形编码了解计算机对图像的表示方法。 (三)情感态度价值观:学会相互之间的合作和沟通,了解二进制原理在计算机中和生活中的应用,激发其创新思考的乐趣。 四、重点难点分析 教学重点:二进制与十进制的转换 教学难点:二进制对字符的表示 五、教学手段 讲授法、游戏法 教学环节 教学活动 设计意图教师活动学生活动 导入展示4张牌,第一张牌上有1个点,第二张 牌上有2个点,第三张牌上有4个点,第4 张牌上有8个点,让学生观察规律,说出第 5张牌有多少个点?其规律是什么? (第i张牌的点数是2i-1 ) 观察牌,总结 规律 题目简单有 趣,能够在短 时间内吸引学 生的注意力。 而且每张牌的 点数隐含着二 进制位数的 权,为正式介 绍二进制做好 铺垫。

三位二进制加法计数器、序列信号发生器的设计、用集成芯片设计一个256进制加法计数器

目录 1课程设计的目的与作用 (1) 2设计任务 (1) 2.1同步计数器 (1) 2.2序列信号发生器 (1) 3设计原理 (1) 3.1同步计数器 (1) 3.1.1加法计数器 (2) 3.1.2减法计数器 (2) 3.1.3用集成芯片设计一个256进制的加法器 (2) 3.2序列信号发生器 (3) 4实验步骤 (3) 4.1同步计数器 (3) 4.1.1加法计数器 (4) 4.1.2减法计数器 (7) 4.1.3用集成芯片设计一个256进制的加法器 (10) 4.2序列信号发生器 (11) 5设计总结与体会 (14) 6参考文献 (15)

1课程设计的目的与作用 1.了解同步计数器及序列信号发生器工作原理; 2.掌握计数器电路的分析,设计方法及应用; 3.掌握序列信号发生器的分析,设计方法及应用; 2设计任务 2.1同步计数器 1.使用设计一个循环型3位2进制加法计数器,其中无效状态为(001,010),组合电路 选用与门和与非门等。 2.根据自己的设计接线。 3.检查无误后,测试其功能。 2.2序列信号发生器 1.使用设计一个能循环产生给定序列的序列信号发生器,其中发生序列(1000001),组 合电路选用与门和与非门等。 根据自己的设计接线。 2.检查无误后,测试其功能。 3设计原理 3.1同步计数器 (1)计数器是用来统计输入脉冲个数电路,是组成数字电路和计算机电路的基本时序逻辑部件。计数器按长度可分为:二进制,十进制和任意进制计数器。计数器不仅有加法计数器,也有减法计数器。如果一个计数器既能完成累加技术功能,也能完成递减功能,则称其为可逆计数器。在同步计数器中,个触发器共用同一个时钟信号。 (2)时序电路的分析过程:根据给定的时序电路,写出各触发器的驱动方程,输出方程,

四位二进制减计数器概要

成绩评定表

课程设计任务书

摘要 Quartus II是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。Multisim为用户提供了丰富的元器件,并以开放的形式管理元器件,使得用户能够自己添加所需要的元器件。 在QuartusII8.1软件中,建立名为wq的工程,用四位二进制减法计数器的VHDL语言实现了四位二进制减法计数器的仿真波形图,同时进行相关操作,锁定了所需管脚,将其下载到实验箱。 在Multisim软件中,通过选用四个时钟脉冲下降沿触发的JK触发器和同步电路,画出其时序图,卡诺图,建立相关方程,做出相关计算,完成四位二进制减法计数器(缺1001,1010)的驱动方程。在Multisim软件里画出了四位二进制减法计数器的逻辑电路图。分析由红绿灯的亮灭顺序及状态,和逻辑分析仪里出现波形图,证明四位二进制减法计数器设计成功。 关键字:VHDL语言;四位二进制减计数器;QUARTUSⅡ;Multisim

目录 1.课程设计目的 (1) 2.设计框图 (1) 3.实现过程 (2) 3.1Q UARTUSⅡ实现过程 (2) 3.1.1建立工程 (2) 3.1.2VHDL源程序 (4) 3.1.3波形仿真 (5) 3.1.4引脚锁定与下载 (7) 3.1.5仿真结果分析 (9) 3.2MULTISIM实现过程 (9) 3.2.1求驱动方程 (9) 3.2.2画逻辑电路图 (11) 3.2.3逻辑分析仪的仿真 (12) 3.2.4结果分析 (13) 4.总结 (14) 5.参考文献 (15)

三位二进制同步减法计数器

1 三位二进制同步减法计数器的设计(000、010) 1.1 课程设计的目的 1、学会利用触发器和逻辑门电路,实现六进制同步减法计数器的设计 2、学会掌握并能使用常用芯片74LS112、74LS08芯片的功能 3、学会使用实验箱、使用软件画图 4、了解计数器的工作原理 1.2 设计的总体框图 1.3 设计过程 1逻辑抽象分析 CP为输入的减法计数脉冲,每当输入一个CP脉冲,计数器就减一个1,当不够减时就向高位借位,即输出借位信号。当向高位借来1时应当为8,减一后为7。状态图中,状态为000输入一个CP脉冲,不够减,向高位借1当8,减1后剩7,计数器的状态应由000转为111,同时向高位输出借位信号,总体框图中C为借位信号。 2状态图 状态000、010为无效状态,据分析状态图为: /0 /0 /0 /0 /0 001011100101110111 /1

3 选择触发器,求时钟方程、输出方程和状态方程 ● 选择触发器 由于状态数M=6,触发器的个数n 满足122n n M -≤≤,故n 的取值为3。选用3个 下降沿触发的JK 触发器。 ● 求时钟方程 因为是同步,故012CP CP CP CP === ● 求输出方程 1.3.1 输出C 的卡诺图 根据输出C 的卡诺图可得输出方程为 C=Q 2n Q 1n ● 求状态方程 计数器的次态的卡诺图为

1.3.2 次态210n n n Q Q Q 的卡诺图 各个触发器的次态卡诺图如下: 1.3.3 2n Q 次态卡诺图 1.3.4 1n Q 的次态卡诺图

1.3.5 0n Q 的次态卡诺图 根据次态卡诺图可得次态方程为: Q 2n+1=Q 1n Q 0n +Q 2n Q 1n Q 1n+1= Q 1n Q 0n + Q 2n Q 1n + Q 2n Q 1n Q 0n Q 0n+1 =Q 2n +Q 0n 4 求驱动方程 Q 2n+1 =Q 1n Q 2n + Q 0n Q 1n Q 2n Q 1n+1=Q 0n Q 2n Q 1n +Q 0n Q 2n Q 1n Q 0n+1=Q 2n Q 0n +Q 2n Q 0n 驱动方程是: J 0 = Q 2n K 0 =Q 2n J 1 =Q 0n Q 2n K 1= Q 0n Q 2 J 2 = Q 1n K 2=Q 0n Q 1n 5 检查是否能自启动 将无效状态100、101分别代入输出方程、状态方程进行计算,结果如下:

高中二进制教案

二进制的教学设计 [教学目标] 1、认知目标 (1)掌握进位制概念; (2)理解进制的本质; (3)掌握十进制和二进制的相互转换; (4)了解计算机所采用的数制及计算机采用二进制数的原因。 2、技能目标 掌握二进制数和十进制数转换以及运算规则。 3、能力目标 对学生思维能力进行拓展,激发他们探索计算机奥秘的欲望。 [教学重点] (1)进制的本质组成 (2)十进制与二进制间的相互转换 [难点] (1)进制的本质组成 (2)十进制与二进制间的相互转换 [教学方法] 讲授法举例法 [授课地点] 普通教室 [教学过程] 一、引入新课 对计算机稍微了解的同学就知道计算机中使用的进位制是二进制,那什么是二进制,它跟我们数学上使用的十进制有什么联系。这节课准备给大家补充点二进制的知识,这跟数学关系很密切,请同学务必认真听课。 二、切入课堂内容 1、什么是进位制 提出问题:什么是进位制?最常见的进位制是什么? 学生普遍回答是十进制。 教师继续提问:那十进制为什么叫十进制?引起学生的思考。(部分经过思考的学生回答是约定的) 教师提醒学生一起回忆幼儿园开始学习算术的情景。 当是我们是从最简单的个位数相加学起,比如2+3=?,当时我们会数手指,2个手指+3个手指等于5个

手指,答案为5。 那4+6呢?4个手指+6个手指等于10个手指,10个手指刚好够用。 那6+9呢?当时我们就困惑了。记得当时老师是告诉我们把6拆成1+5,9+1=10,这时老师跟我们约定用一个脚趾表示10,另外用5个手指表示5。这样通过脚趾,我们就成功解决了两个数相加超过10的问题。教师提问:那当时我们为什么要约定10呢,为什么用9或11?引起学生思考。(部分经过思考的学生回答为了方便运算) 教师提问:除此之外还有哪些常见的进位制?请举例说明。拓展学生的思维。 有学生回答60进制(时分秒的换算),360进制(1周=360度),二进制等等。 教师和学生一起归纳进位制的概念,学生和老师形成共识: 进位制是人们为了计数和运算方便而约定的记数系统。 2、什么是十进制? 教师提出问题:大家学习了十几年十进制,我们了解十进制吗?所谓的十进制,它是如何构成的? 引起学生思考。 十进制由三个部分构成: (1)由0、1、2、3、4、5、6、7、8、9十个数码组成; (2)进位方法,逢十进一;(基数为10) (3)采用位权表示法,即一个数码在不同位置上所代表的值不同。 引入基数和位权的概念 一种进制就规定了一组固定的数字,数字的个数就是这种类制的基数,如十进制规定了,0,1,2…9共10个数字,则十进制的基数就为10。 位权是一个比较新的概念,通过简单的例子介绍什么是位权。 比如:数码3,在个位上表示为3,在十位表示为30,在百位表示为300,在千位表示为3000。 3333=3000+300+30+3=3*103+3*102+3*101+3*100 这里个(100)、十(101)、百(102),称为位权,位权的大小是以基数为底,数码所在位置序号为指数的整数次幂。 教师提出问题:其它进位制的数又是如何的呢?引入二进制。 3、什么是二进制? 从生活最常用的十进制入手,讲解基数和位权的概念,学生理解后,引入二进制数的概念,在对二进制数进行介绍时,会把学生带入到一个全新的数字领域。 (1)二进制的表示方法(同样由三部分组成)

二进制及其转换教案

第11章逻辑代数初步 11.1 二进制及其转换 【教学目标】 l、了解二进制的含义; 2、会进行二进制与十进制之间的相互转换; 【教学重点】 掌握二进制的含义 【教学难点】 会进行二进制与十进制之间的相互转换 【教学方法】 这节课主要采用探究教学和讲授法结合的教学方法,运用二进制的含义,会进行二进制与十进制之间的相互转换,使学生容易理解,同时结合习题让学生加深对逻辑运算的理解。 【教学过程】 环节教学内容设计意图 回顾旧知1、了解散点图的概念,能说出变量相关关系的含义; 2、能根据给出的回归直线方程系数公式建立回归直线方程; 3、会用科学计算器求回归系数。 教师提出问题, 学生回顾旧知识,做 出解答,教师讲解。 通过回顾旧知,唤起 学生对旧知识的回 顾,为学习新知识做 好铺垫。 导入1、十进制的基数是?进位规则是? 2、二进制的基数是?每个数位上的数码个数是?数码分别是? 进位规则是? 我们目前所接触的数都是十进制,它是用0、1、2、3、4、5、 6、7、8、9这十个数码符号来表示的,今天我们来学习另一种 常见的表示数的方法——二进制 教师提出问 题.学生回顾逻辑运 算的规则和真值表的 知识,概括、认识逻 辑运算律,符合职校 学生的认知能力. 新课 相关概念: 1.十进制:用0、1、2、3、4、5、6、7、8、9这十个数码符号 放到相应的位置来表示数的一种方法。如56365 介绍法

2.数位:数码符号在数中的位置 3.基数:每个数位上可以使用的数码符号的个数。十进制的每 一个数位都可以用十个数码符号。 4.位权数:每个数位所代表的数。十进制的进位规则为“逢10 进位1”,位权数如下: 位置 整数部分小数点第三位第二位第一位起点 位权数100 10 1 新课 二进制的概念及十进制、二进制的意义: 十进制的意义:各个十位的数码与其位权数的乘积和。例如: 1 2 3 410 5 10 6 10 3 10 6 10 5 56365? + ? + ? + ? + ? = 二进制:用0、1这两个数码符号表示数的一种方法。例如110101 位置 整数部分小数点 第三位第二位第一位起点 位权数 4 2 1 二进制的意义:各个十位的数码与其位权数的乘积和。例如 1 2 3 4 52 1 2 2 1 2 2 1 2 1 110101? + ? + ? + ? + ? + ? = 二进制与十进制的相互转化: 1.二进制化成十进制 __________ __________ __________ ) 111001101 ( _______ __________ __________ ) 1010110 ( 2 2 = = 2.十进制化成二进制 ______ __________ ) 125 ( __ __________ ) 79 ( 10 10 = = 讲述法 举例说明 启发 观察 引导 学生练习 教师巡视 知识拓展问题解决 例1 写出下列各数的按权展开式 __________ __________ __________ ) 111001101 ( _______ __________ __________ ) 1010110 ( 2 2 = = 例2 将下列二进制数转换成十进制数 引导学生小组 合作交流。

实验二含异步清零和同步使能的加法计数器

实验二含异步清零和同步使能的加法计数器 一、实验目的 1、了解二进制计数器的工作原理。 2、进一步熟悉QUARTUSII软件的使用方法和VHDL输入。 3、时钟在编程过程中的作用。 二、实验原理 二进制计数器中应用最多、功能最全的计数器之一,含异步清零和同步使能的加法计数器的具体工作过程如下: 在时钟上升沿的情况下,检测使能端是否允许计数,如果允许计数(定义使能端高电平有效)则开始计数,否则一直检测使能端信号。在计数过程中再检测复位信号是否有效(低电平有效),当复位信号起作用时,使计数值清零,继续进行检测和计数。 其工作时序如图3-1所示: 图3-1 计数器的工作时序 三、实验内容 本实验要求完成的任务是在时钟信号的作用下,通过使能端和复位信号来完成加法计数器的计数。实验中时钟信号使用数字时钟源模块的1HZ信号,用一位拨动开关K1表示使能端信号,用复位开关S1表示复位信号,用LED模块的LED1~LED11来表示计数的二进制结果。实验LED亮表示对应的位为‘1’,LED灭表示对应的位为‘0’。通过输入不同的值模拟计数器的工作时序,观察计数的结果。实验箱中的拨动开关、与FPGA的接口电路,LED灯与FPGA的接口电路以及拨动开关、LED与FPGA的管脚连接在实验一中都做了详细说明,这里不在赘述。 数字时钟信号模块的电路原理如图3-2所示,表3-1是其时钟输出与FPGA的管脚连接表。

图3-2 数字时钟信号模块电路原理 信号名称对应FPGA管脚名说明 DIGITAL-CLK A14数字时钟信号送至FPGA的A14 表3-1 数字时钟输出与FPGA的管脚连接表 按键开关模块的电路原理如图3-3所示,表3-2是按键开关的输出与FPGA的管脚连接表。 图3-3 按键开关模块电路原理 信号名称FPGA I/O名称核心板接口管脚号功能说明S[0]PIN_AF5JP1_91‘S1’ Switch S[1]PIN_AH6JP1_93‘S2’ Switch S[2]PIN_AH7JP1_95‘S3’ Switch S[3]PIN_AH8JP1_97‘S4’ Switch S[4]PIN_AG10JP1_99‘S5’ Switch S[5]PIN_AG11JP1_101‘S6’ Switch S[6]PIN_AH14JP1_90‘S7’ Switch S[7]PIN_AG7JP1_92‘S8’ Switch

含有异步清零和计数使能的16位二进制加减可控计数器

1.含有异步清零和计数使能的16位二进制加减可控计数器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY cnt16 IS PORT(EN,RST,UPD,CLK : IN STD_LOGIC; OUT1: OUT STD_LOGIC_VECTOR(15 DOWNTO 0)); END cnt16; ARCHITECTURE bhv OF cnt16 IS SIGNAL QQ:STD_LOGIC_VECTOR(15 DOWNTO 0); BEGIN PROCESS(EN,RST,UPD) BEGIN IF RST='1' THEN QQ<=(OTHERS=>'0'); --有复位信号清零 ELSIF EN='1' THEN --EN位高电平开始计数IF CLK'EVENT AND CLK='1' THEN IF UPD='1' THEN --当UDP为1加计数 QQ<=QQ+1; ELSE --当UDP不为1减计数 IF QQ > "0" THEN --当减到0时 QQ<=QQ-1; --给QQ全1 ELSE QQ<=(OTHERS=>'1'); END IF; END IF; END IF; END IF; END PROCESS; OUT1<=QQ; END bhv; 图1-1 16位二进制加减可控计数器的RTL图 图1-2 16位二进制加减可控计数器的波形仿真图

2.1 计数器和译码器合起来的程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT4_YM IS PORT(CLK,RST,ENA:IN STD_LOGIC; COUT:OUT STD_LOGIC; LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END CNT4_YM; ARCHITECTURE BEHV OF CNT4_YM IS SIGNAL CQI:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS(CLK,RST,ENA) BEGIN IF RST='1' THEN CQI<=(OTHERS=>'0'); ELSIF CLK'EVENT AND CLK='1' THEN IF ENA='1' THEN IF CQI<9 THEN CQI<=CQI+1; ELSE CQI<=(OTHERS=>'0'); END IF; END IF; END IF; IF CQI=9 THEN COUT<='1'; ELSE COUT<='0'; END IF; END PROCESS; PROCESS(CQI) BEGIN CASE CQI IS WHEN"0000"=>LED7S<="0111111"; WHEN"0001"=>LED7S<="0000110"; WHEN"0010"=>LED7S<="1011011"; WHEN"0011"=>LED7S<="1001111"; WHEN"0100"=>LED7S<="1100110"; WHEN"0101"=>LED7S<="1101101"; WHEN"0110"=>LED7S<="1111101"; WHEN"0111"=>LED7S<="0000111"; WHEN"1000"=>LED7S<="1111111"; WHEN"1001"=>LED7S<="1101111"; WHEN"1010"=>LED7S<="1110111"; WHEN"1011"=>LED7S<="1111100"; WHEN"1100"=>LED7S<="0111001"; WHEN"1101"=>LED7S<="1011110"; WHEN"1110"=>LED7S<="1111001"; WHEN"1111"=>LED7S<="1110001"; WHEN OTHERS=>NULL; END CASE; END PROCESS; END BEHV; 2.2 计数器和译码器分开的程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY JSYM IS PORT(CLK,RST,ENA:IN STD_LOGIC; COUT:OUT STD_LOGIC; OUTY:OUTSTD_LOGIC_VECTOR(3 DOWNTO 0)); END JSYM; ARCHITECTURE BEHV OF JSYM IS BEGIN PROCESS(CLK,RST,ENA) V ARIABLE CQI:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF RST='1' THEN CQI:=(OTHERS=>'0'); ELSIF CLK'EVENT AND CLK='1' THEN IF ENA='1' THEN IF CQI<9 THEN CQI:=CQI+1; ELSE CQI:=(OTHERS=>'0'); END IF; END IF; END IF; IF CQI=9 THEN COUT<='1'; ELSE COUT<='0'; END IF; OUTY<=CQI; END PROCESS; END BEHV; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DECL7S IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ); END DECL7S; ARCHITECTURE BEHV OF DECL7S IS BEGIN PROCESS(A) BEGIN CASE A IS WHEN"0000"=>LED7S<="0111111"; WHEN"0001"=>LED7S<="0000110"; WHEN"0010"=>LED7S<="1011011"; WHEN"0011"=>LED7S<="1001111"; WHEN"0100"=>LED7S<="1100110"; WHEN"0100"=>LED7S<="1101101"; WHEN"0101"=>LED7S<="1111101"; WHEN"0110"=>LED7S<="0000111"; WHEN"0111"=>LED7S<="1111111"; WHEN"1000"=>LED7S<="1101111"; WHEN"1010"=>LED7S<="1110111"; WHEN"1011"=>LED7S<="1111100"; WHEN"1100"=>LED7S<="0111001"; WHEN"1101"=>LED7S<="1011110"; WHEN"1110"=>LED7S<="1111001"; WHEN"1111"=>LED7S<="1110001"; WHEN OTHERS=>NULL; END CASE; END PROCESS; END;

二进制及其转换教案

二进制及其转换 [教学目标] 1、认知目标 (1)掌握进位制概念; (2)理解进制的本质; (3)掌握十进制和二进制的相互转换; (4)了解计算机所采用的数制及计算机采用二进制数的原因。 2、技能目标 掌握二进制数和十进制数转换以及运算规则。 3、能力目标 对学生思维能力进行拓展,激发他们探索计算机奥秘的欲望。 [教学重点] (1)进制的本质组成 (2)十进制与二进制间的相互转换 [难点] (1)进制的本质组成 (2)十进制与二进制间的相互转换 [教学方法] 讲授法举例法 [授课地点] 普通教室,不用多媒体 [教学过程] 一、引入新课 对计算机稍微了解的同学就知道计算机中使用的进位制是二进制,那什么是二进制,它跟我们数学上使用的十进制有什么联系。这节课准备给大家补充点二进制的知识,这跟数学关系很密切,请同学务必认真听课。 二、切入课堂内容 1、什么是进位制 提出问题:什么是进位制?最常见的进位制是什么? 学生普遍回答是十进制。 教师继续提问:那十进制为什么叫十进制?引起学生的思考。(部分经过思考的学生回答是约定的) 教师提醒学生一起回忆幼儿园开始学习算术的情景。 当是我们是从最简单的个位数相加学起,比如2+3=?,当时我们会数手指,2个手指+3个手指等于5个

手指,答案为5。 那4+6呢?4个手指+6个手指等于10个手指,10个手指刚好够用。 那6+9呢?当时我们就困惑了。记得当时老师是告诉我们把6拆成1+5,9+1=10,这时老师跟我们约定用一个脚趾表示10,另外用5个手指表示5。这样通过脚趾,我们就成功解决了两个数相加超过10的问题。 教师提问:那当时我们为什么要约定10呢,为什么用9或11?引起学生思考。(部分经过思考的学生回答为了方便运算) 教师提问:除此之外还有哪些常见的进位制?请举例说明。拓展学生的思维。 有学生回答60进制(时分秒的换算),360进制(1周=360度),二进制等等。 教师和学生一起归纳进位制的概念,学生和老师形成共识: 进位制是人们为了计数和运算方便而约定的记数系统。 2、什么是十进制? 教师提出问题:大家学习了十几年十进制,我们了解十进制吗?所谓的十进制,它是如何构成的? 引起学生思考。 十进制由三个部分构成: (1)由0、1、2、3、4、5、6、7、8、9十个数码组成; (2)进位方法,逢十进一;(基数为10) (3)采用位权表示法,即一个数码在不同位置上所代表的值不同。 引入基数和位权的概念 一种进制就规定了一组固定的数字,数字的个数就是这种类制的基数,如十进制规定了,0,1,2…9共10个数字,则十进制的基数就为10。 位权是一个比较新的概念,通过简单的例子介绍什么是位权。 比如:数码3,在个位上表示为3,在十位表示为30,在百位表示为300,在千位表示为3000。 3333=3000+300+30+3=3*103+3*102+3*101+3*100 这里个(100)、十(101)、百(102),称为位权,位权的大小是以基数为底,数码所在位置序号为指数的整数次幂。 教师提出问题:其它进位制的数又是如何的呢?引入二进制。 3、什么是二进制? 从生活最常用的十进制入手,讲解基数和位权的概念,学生理解后,引入二进制数的概念,在对二进制数进行介绍时,会把学生带入到一个全新的数字领域。 (1)二进制的表示方法(同样由三部分组成) ①由0、1两个数码来描述。如11001,记为11001(2)或者(11001)2 ②进位方法,逢二进一;(基数为2) ③位权大小为2-n ...、2-1、20、21、22...2n 比如 01234(2)2 12020212111001?+?+?+?+?=

电子线路异步二进制计数器教案

异步二进制计数器 【教学目标】 1、知识目标: (1)理解异步二进制计数器的功能; (2)掌握异步二进制计数器的电路结构; (3)理解异步二进制计数器的工作原理。 2、能力目标: (1)提高实践动手能力; (2)提高思考问题、分析问题的能力。 3、情感目标:激发学习兴趣。 【教学重难点】 重点: (1)异步二进制计数器的功能; (2)异步二进制计数器的电路结构; 难点: (1)仪器使用、实践技能; (2)异步二进制计数器的工作原理。 【授课方式】 理实一体化 【教学过程】 【复习引入】 这节课我们来学习一种常见的时序逻辑电路,叫做计数器。计数器是怎样构成的,它能实现什么功能呢?今天我们通过做一个实验,让大家从实验中来发现和总结计数器的功能和工作原理。 做实验之前,我们首先来复习一下JK边沿触发器及其逻辑功能:

1、观察图中符号,CP 脉冲的有效触发边沿是它的什么边沿? (下降沿) 2、置0端和置1端是什么电平或脉冲有效? (低电平) 触发器正常工作时,置0端和置1端应给予高电平还是低电平? (高电平) 3、TTL 数字集成电路输入端悬空可视为输入什么? (高电平) 4、JK 触发器的逻辑功能?填入上表。特别注意当JK 输入都为1时,触发器实现的是什么功能? 【新课】 一、实践准备: (一)实验器材: 异步二进制计数器实验电路板一块、EE1640C 函数信号发生器/计数器一台、YJ56-1双路稳压电源一台、万用表一架、导线、电烙铁及焊锡。 (二)认识电路板: 1、双JK 触发器集成电路74LS112的管脚排列: 2、请同学们对照管脚排列图理解元件接线图: J K Qn 功能 0 0 Qn 保持 1 1 n Q 翻转 0 1 0 置0 1 1 置1

相关文档