文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑电路测试与设计习题册(一)

数字逻辑电路测试与设计习题册(一)

数字逻辑电路测试与设计习题册(一)
数字逻辑电路测试与设计习题册(一)

编者按:

本习题册根据《数字逻辑电路测试与设计》项目式教学的内容,总结归纳了本课程需要掌握的主要知识点,并针对各个知识点,从不同的角度、以不同的形式出题供学生练习。本习题册有填空题、判断题、分析与设计题等形式,学生可选择自己喜欢的形式进行练习使用。

当然,大学的学习不同高中阶段的学习,以课堂听讲、消化理解、思考提问、实践练习为主要学习手段,不提倡学生沉溺于题海,由于项目式课程的学习内容比较宽泛、动手实践的机会较多,很多学生忽视了基本知识的掌握,在此编辑本习题册旨在帮助学生强化基本概念的掌握,若有了扎实的理论、正确的概念,那么得出正确的实践结果将更加快捷,实践中所遇问题便会迎刃而解,可进一步锻炼分析问题和解决问题的能力。

愿本习题册能帮助学生及时解决学习中出现的问题,轻松地进行《数字逻辑电路测试与设计》项目式课程的学习。

编者

2009-7-18

项目一加法器电路的设计与测试

主要知识点:

1.模拟信号特点

2.数字信号特点

3.数制和码制

1)十进制、二进制、八进制、十六进制

2)进制之间互相转换

3)BCD码

4)格雷码

4.基本门电路逻辑功能及描述方法

5.复合门电路逻辑功能及描述方法

6.TTL特殊门电路OC门和三态门

7.CMOS特殊门电路OD门、CMOS三态门及CMOS传输门*

8.TTL和CMOS电路的外部特性和使用方法

9.逻辑代数的基本定律和规则(十条规律、三个规则及常用公式的

证明)

10.逻辑函数的表示方法(逻辑表达式、逻辑符号、真值表、波形图

等)

11.逻辑函数的变换和化简(公式法化简、卡诺图化简、具有无关项

的卡诺图的化简、Multisim化简)

12.逻辑函数表达式(一般表达式、最小项表达式、最大项表达式)

13.组合逻辑电路的分析和设计方法

一、判断题:

1.通常电信号可以分为模拟信号和数字信号,如语音信号就是一种数字信号。

()

2.模拟信号在幅值和时间上都是连续的,而数字信号则是离散的,因此他们之

间不能相互转换。()

3.实际数字系统中,数字信号不可能立即上升或下降,通常将从低向高变化过

渡的时间定义为上升时间t r,指波形从幅值的10%到100%所经历的时间。

()

4.在电信号可以分为模拟信号和数字信号,常见的数字信号包括锯齿波和方波

信号。()

5.和模拟信号相比,数字信号的缺点是在存储和传输时容易造成失真。(×)

6.通常我们所说的正逻辑,举例来说就是将低电平定义为逻辑上的?0?而将高电

平定义为?1?。()

7.数字系统常用的数制有二进制、十进制和十六进制等,他们之间的根本区别

在于权不同。()

8.各进制之间都可以进行转换,如将十进制的整数部分转换为二进制时通常采

用除基取余的方法。()

9.十进制可以转换为十六进制,如果要将十进制的小数部分进行转换时通常采

用除基取余的方法。()

10.在任意进制数的求和公式∑-

-

=?

1 n

m i

i

i

R

a中R称为权。()

11.为了方便使用,我们通常采用四位二进制数表示两位十进制数,这种编码方

式被称为BCD码。()

12.我们常用的码制中按照每一位是否有固定的权值,分为有权码和无权码,

2421码就属于有权码。()

13.在码制中有一种较为特别的编码方式称为格雷码,其相邻码组之间只有两位

不同,因此我们也把这种编码方式称为循环码。()

14.8421BCD码中,编码的范围是从0000到1111。()

15.在十六进制中,数码的范围是从0到E。()

16.各种进制之间可以进行相互转换,数(16)D转换为十六进制数为(F)H。

()

17.各种进制之间可以进行相互转换,数(1.1)B转换为十六进制数为(1.1)H。

()

18.数(1000)B转换为8421BCD码为(1000)8421BCD,则数(1010)B可以转换为

(1010)8421BCD 。( )

19. 格雷码的编码范围是从0000到1111。( )

20. 在BCD 码中,8421码和2421码的区别在于前者是有权码而后者是无权码。

( )

21. 在逻辑函数中,基本逻辑运算包括“与”、“或”和“非”三种。( )

22. 基本逻辑运算中,“或”逻辑可以用表达式表示为F A B =+,用口诀来记忆的

话是“有1出1,全0出0”。( )

23. 简单电路中,用两个并联的开关来控制电路中的发光二极管的亮灭,如果将

其归纳为相应的逻辑关系,则这个二极管的状态等于这两个开关的“与”。

( )

24. 在“与非”逻辑中,如果有一个变量为?1?则输出为?0?。( )

25. 在“或非”逻辑中,如果所有的变量为?0?则输出也为?0?。( )

26. 在复合逻辑运算中,“异或”逻辑指当两个变量不同时输出为?0?,反之为?1?。

( )

27. 在复合逻辑运算中,“同或”逻辑用基本逻辑运算可表示为B A B A F +=。

( )

28. 在没有“同或”门电路的时候,可以用一个“异或”门和一个“与非”门构成。

( )

29. 逻辑函数基本定律中有0-1律,可表示为11=?A 和11=+A 。( )

30. 逻辑函数基本定律中的自等律可以表示为A A A ?=和A A A =+。( )

31. 逻辑函数基本定律中的吸收律可以表示为B B A A =+)(。( )

32. 反演规则中需将原变量变为反变量,而反变量则变为原变量。( )

33. 函数BC A F +=的反函数为F AB AC =+。( )

34. 函数CD AB F +=的反函数为F A BC D =++。( )

35. 函数C B A F +?+=1的对偶函数为'F A B C =?+。( )

36. 函数C B A F +=的对偶式为'F A B C =++。( )

37. 由代入规则可知n n A A A A A A +???++=+???++2121。( )

38. 函数的逻辑表达式并不唯一,函数BC C A AB ++又可以表示为C A B A +。

( )

39. 在逻辑函数的表示方法中,只有真值表在表示逻辑函数时是唯一的。( )

40. 逻辑运算与数值运算的唯一区别在于,逻辑运算中没有减运算。( )

41. 所谓最简表达式既是指只用“与”和“或”运算表示的表达式。( )

42. 如果将函数BC BC A ABC F ++=化简的结果为0。( )

43. 逻辑函数的表达式可以分为标准表达式和非标准表达式两类,其中“与或”表

达式即为标准表达式。( )

44. 最小项表达式中的最小项指的是任意一个包含所有变量的或项。( )

45. 在一个4变量的函数中最小项的个数是八个。( )

46. 如果将一个3变量的函数的所有最小项相加的话,结果为0。( )

47. 四变量函数中的最小项ABCD 和D C AB 相与的结果是1。( )

48. 任何函数的任意两个最小项相与的结果是0。( )

49. 所谓逻辑相邻最小项即是指最小项的编号相邻,如2m 和3m 。( )

50. 两个具有相邻性的最小项相加有可能消去一个或一个以上的变量,具体根据

函数的变量数决定。( )

51. 常见的数字信号,有正弦信号、余弦信号和语音信号等。 ( )

52. 数字电路具有易于集成、便于存储和抗干扰能力强等优点。 ( )

53. 模拟信号也可以用0和1来表示,因此其和数字信号没有本质的区别。( )

54. 现代计算机通常为了方便使用者,都采用十进制进行运算。 ( )

55. 在十进制转化为二进制时,整数部分通常采用乘基取整的方法。 ( )

56. 二进制和十进制运算规则中,只有加法是相同的。 ( )

57. 在编码中,BCD 编码都是有权码。 ( )

58. 任何十进制数转化为二进制数,就是其所对应的BCD 码。 ( )

59. BCD 码即是指用二进制数表示任何十进制数。 ( )

60. 十进制数…5?用8421BCD 码表示时,既可以表示为“0101”也可以简单表示为

“101”。 ( )

61. 8421BCD 码进行加法运算时,应遵循二进制数加法规则。 ( )

62.8421码与2421码虽有所不同,但其有效码范围是一样的。()

63.8421码与2421码对十进制数?5~9?的编码是相同的。()

64.余3码和格雷码都属于循环码。()

65.8421BCD码的有效码范围是0000 ~ 1001,不可能出现1010 ~ 1111之间的编

码。()

66.格雷码相邻码组之间只有1位数相同,因此被称为循环码。()

67.逻辑代数主要讨论输入变量和输出变量的逻辑关系。()

68.基本逻辑运算中,“与”逻辑可以用口诀表示为“全0出0 ,有1出1”。()

69.异或门由于可以由同“或”门与“与”门组合而成,因此通常只生产同或门。

()

70.异或门逻辑关系可以理解为当输入都为…0?时,输出为…1?。()

71.在逻辑代数中,F AB C AB AC

=+=+。()

72.任何变量和…1?取或运算其结果都为其变量本身。()

73.原变量和反变量的“与”的结果为1。()

74.反演规则和对偶规则的唯一区别在于,对偶规则无需将“0”变“1”,“1”变“0”。

()75.反演变换和对偶变换都应将原变量变为反变量,反变量变为原变量。

()

76.在表示一个逻辑问题时,函数表达式是唯一的。()

77.真值表具有唯一性。()

78.A条件和B条件同时具备时,结果才成立,则结果和条件A、B的逻辑关系

是“与”的关系。()

79.74LS00是与非门集成电路,包含有四个二输入的与非门。()

80.对逻辑表达式进行化简,最简表达式必然是与或式。()

81.标准表达式中,最小项表达式也可以被看成“或与”表达式。()

82.全部最大项相与,其结果为0。()

83.最小项表达式应该包含全部最小项。()

84.对于任何一个最小项,只有一组变量的取值使其为1,同样也只有一组变量

的取值使其为0。()

85.任意两个最小项取或运算,其结果必为“0”。()

86.具有相邻性的两个最小项可以合并成一项,并且可以消去一对变量,四个最

小项可以消去两个变量,八个则消去三个变量。 ( )

87. 卡诺图的特点是:将具有逻辑相邻性的最小项在几何位置上也相邻地排列。

( )

88. 任何逻辑函数用表达式表示都不是唯一的,同样用最小项表达式表示也不是

唯一的。 ( )

89. 标准的或与表达式又称为最大项表达式。

(,,)()()()F A B C A B C A B C A B C =++++++ 是最大项表达式。 ( )

90. 一个逻辑函数表达式。既可以用最小项表达式表示,又可以用最大项表达式

表示。 ( )

91. 卡诺图是真值表的另外一种表示方式。 ( )

92. 卡诺图化简的依据是最小项的相邻性。 ( )

93. 在对卡诺图化简时,相邻最小项构成的矩形越少,则化简后的与项个数越少。

( )

94. 在对卡诺图化简时,相邻最小项构成的矩形越大,则化简后的与项中变量数

越少。 ( )

95. 卡诺图化简时,每个矩形中至少要有一个1是每被选择过的。 ( )

96. 如果函数只有3个变量,则其对应的卡诺图包含8个方格。 ( )

97. F AB BC =+是标准与或表达式,又称最小项表达式。 ( )

98. 通常将约束项和任意项统称为逻辑函数的无关项。 ( )

99. 对含有无关项的卡诺图进行化简,通常可以将无关项看作逻辑0。( )

100. 对含有无关项的卡诺图进行化简,既可以将无关项看作逻辑0,也可以看作

1。 ( )

101.若已知AB C AB D +=+,所以:C D =。 ( )

102.若已知ABC ABD =,所以:C D =。 ( )

103.若已知A+B=A+C 同时AB=AC ,所以:B C =。 ( )

104.共有n 个1相异或,11..........1F =⊕⊕⊕ ,当n 为奇数时1F =。 ( )

105.共有n 个1相同或,11..........1F = ,当n 为偶数数时1F =。 ( )

106.欲将异或门做非门使用,则另一个输入端应该接低电平。 ( )

107.将异或门的一个输入端接低电平,则F 与另一个输入端的关系为F A =。

( )

108.A B C A C B

⊕⊕=⊕⊕。()109.将二输入与非门做非门使用,则另一个输入端可以接高电平或将两个输入端并接使用。()110.将二输入或非门做非门使用,则另一个输入端可以接高电平或将两个输入端并接使用。()

111.在数字电路中,所谓“门”电路,就是实现一些基本逻辑功能的电路。()112.相对分立元件门电路而言,集成电路具有体积小、耗电省、可靠性高等优点。

()

113.集成电路一般分为数字集成电路、模拟集成电路及数模混合集成电路三大类。()114.数字集成电路从制造工艺角度可分为双极型集体管集成电路和单极型晶体管集成电路两大类。()115.在用三极管构成非门时,通常使用的是三极管的截止区和放大区。()116.分立元件相对集成电路而言可靠性更高。()117.所谓的TTL门电路因为其输入和输出都采用晶体管而得名。()118.在数字电路中,通常所说的高、低电平指的都是某个具体值。()119.数字电路中的为了区分高电平通常用某个值规定最小高电平电压。()120.TTL门电路中输入高电压是一个电压范围,而输出则为一个具体值。()121.TTL门电路抗干扰能力较强,因此输入端夹杂的噪声信号大小没有限制。

()

122.所谓TTL门电路输入端噪声容限即是指输入电平的允许波动范围。()

123.通常,讨论TTL门电路输入端噪声容限时,都是指输入高电平时的电压允许范围。()

124.TTL门电路输入端噪声容限通常包括了输入高电平和低电平时的噪声容限。

()

125.当两级TTL门电路级连时,前一级的输出高电平电压的最小值必须比后一级的输入高电平最小值的电压高,才能保证逻辑状态稳定。()

126.对于TTL门电路来说,如果输入端悬空即代表输入低电平。()127.对于TTL门电路来说,输入端不能悬空,若悬空则输入状态不定。()128.某二输入TTL与非门,其两个输入端并接后通过电阻接地,则输出一定为0。

()

129.TTL异或门,其两个输入端并接后经由同一电阻接地,则输出一定为0。

()

130.TTL门电路的输入端如果通过一个10千欧的电阻接地的话,此输入端可看作输入高电平。()

131.在讨论TTL门电路的输出特性时,主要指输入电压和输出电压之间的关系。

()

132.TTL门电路输出特性曲线指输出电压与负载电流之间的关系。()133.TTL门电路的特性曲线根据输出状态不同可以分为两种情况来讨论。()134.所谓TTL门电路带灌电流负载时,输出端输出状态为高电平。()135.TTL门电路输出低电平时,所带负载我们通常称其为拉电流负载。()136.当TTL门电路带拉电流负载时,负载电流从输出端流向负载。()137.当TTL门电路输出为高电平时,负载电流从负载流向输出端,这种负载我们称谓拉电流负载。()138.TTL门电路输出电压与所带负载的数量无关。()139.TTL门电路输出电压通常随所带负载数量的增加而变大。()140.TTL门电路只有当输出为低电平时,输出电压才会随负载电流的增加而增加。()141.所谓扇出系数就是指TTL门电路带同类型门电路的个数。()142.TTL门电路的扇出系数通常包括了拉电流扇出系数和灌电流扇出系数。

()

143.当灌电流扇出系数大于拉电流扇出系数的时候,通常取灌电流扇出系数来确定带负载的个数。()

144.在灌电流扇出系数和拉电流扇出系数中,通常我们取较小的那个来确定带负载的个数。()

145.灌电流扇出系数通常指低电平输出电流与低电平输入电流的比值。()146.OC门即所谓的集电极开路门,是一种特别的CMOS门电路。()147.OC门为了能够正常的工作,需要将输出端接电阻并接地。()148.TTL与非门电路可以将输出端并接,从而实现“线与”的功能。()149.当普通TTL门电路的输出端并接在一起,可能造成器件损坏。()150.如果OC门输出端不接上拉电阻,则无法输出逻辑上的1,却能输出逻辑0。

()151.所谓三态门,即是指输出高、低电平之外,还有高阻输出。()152.三态门由于除了高、低电平两个状态之外还有高阻状态,因此可以用于总线结构。()153.三态门为高阻状态时,输出电压为0,因此高阻状态和逻辑0完全一样。

()154.CMOS逻辑电路是以金属氧化物半导体效应管为基础的集成电路。()155.由于场效应晶体管中只有一种载流子的运动,所以CMOS逻辑电路属单极型电路。()156.TTL门及CMOS门带同类门的能力较强,TTL可以带几百个TTL负载,而CMOS则至少可以带8个以上的CMOS负载。()157.除OC门、三态门外的普通门电路输出端不能并联使用。()158.TTL门电路通常分为54和74系列,54系列工作温度范围为0~70℃,而74系列则为-55~125℃。()159.TTL、ECL和CMOS三种系列门电路中,门静态功耗最小的是ECL门电路。

(×)160.CMOS传输门导通电阻很低,而截止电阻很高,应此传输门电路近似于一种

理想的开关。()

二、填空题

1.模拟信号在时间和幅值上都是(),数字信号在时间和幅值上都是

()。

2.上升时间是指波形从幅值的()%上升到()%所经历的时间,

下降时间是指波形从幅值的()%下降到()%所经历的时间。

3.正弦波信号属于()(模拟/数字)信号,三角波信号属于(模拟/数

字)信号。

4.方波信号在时间和幅值上是()(离散/连续)的,语音信号在时间

和幅值上是()(离散/连续)的。

5.()信号在传输和存储时容易失真,无法用计算机直接计算。

6.在数字电路中,常用的计数制除十进制外,还有()、()、()。

7.计算机在实现运算和数据处理时采用的进制是()。

8.数字101.1B是()进制数,101.1D是()进制数,101.1H是()

进制数。

9.数字1011B中数码0的权是(),数字345.2H中数码2的权是()。

10.数字2345D是()进制数,它的基数是()。

11.(101.01)2=()10

12.(23)10 =()2

13.(0100 1101.1001 1100)2=()16

14.(6E.3A5)H=()B

15.56.7D = ()H

16.(43.5)H =()D =()B

17.(AA.B)H =()D =()B

18.(F.C)H =()D =()B

19.(5.F)H =()D =()B

20.(1C.C)H =()D =()B

21.(3.5)D =()H =()B

22.(101)D =()H =()B

23.(25.2)D =()H =()B

24.(10.5)D =()H =()B

25.(56.25)D =()H =()B

26.(1111.11)B =()D =()H

27.(1010.01) B =()D =()H

28.(0.101)B =()D =()H

29.(1.001)B =()D =()H

30.(110.11)B =()D =()H

31.常用的BCD码有()、()、()等。

32.格雷码属于()(有权码/无权码),我们通常有把格雷码称为()

码或是()码。

33.格雷码的特点是任意两个相邻的码组之间有()(1/2)位数不同,因

此其被称为()码。

34.常用的有权码有()码和()码。

35.常用的无权码有()码和()码。

36.8421BCD码是最常用的BCD码,它是采用()位二进制数来表示()

位十进制数,符合通常人们的习惯。

37.(12)D =()H =()8421BCD

38.(32.5)D =()H =()8421BCD

39.(92.01)D =()H =()8421BCD

40.(17.8)D =()H =()8421BCD

41.(24.25)D =()H =()8421BCD

42.(1001)B =()D =()8421BCD

43.(11.01)B =()D =()8421BCD

44.(110.11)B =()D =()8421BCD

45.(10001.1)B =()D =()8421BCD

46.(1010.0001)B =()D =()8421BCD

47.(1001 0101)8421BCD =()B =()D

48.(0001.1000)8421BCD =()B =()D

49.(0011 1001.1000)8421BCD =()B =()D

50.(0111.0111)8421BCD =()B =()D

51.(0011 0101)8421BCD +(1000 0001)8421BCD =()8421BCD

52.(0011.1001)8421BCD +(1000.0001)8421BCD =()8421BCD

53.(0000.0001)8421BCD +(1000.1001)8421BCD =()8421BCD

54.(0011 0101)8421BCD– (0010 0001)8421BCD =()8421BCD

55.(0101.0011)8421BCD×(0001 0000)8421BCD =()8421BCD

56.(0101.0011)8421BCD×(0010)8421BCD =()8421BCD

57.(0101 0011)8421BCD -(0001 0000)8421BCD =()8421BCD

58.(0101.0011)8421BCD -(0001.0000)8421BCD =()8421BCD

59.(0101.0011)8421BCD ÷(0001 0000)8421BCD =()8421BCD

60.(1001 1001)8421BCD÷(0001)8421BCD =()8421BCD

61.逻辑代数又称为()代数。最基本的逻辑关系有()、()、

()三种。常用的几种导出的逻辑运算为()、()、()、()、()。

62.逻辑函数的常用表示方法有()、()、()。

63.逻辑代数中与普通代数相似的定律有()、()、()。摩根

定律又称为()。

64.逻辑代数的三个重要规则是()、()、()。

65.逻辑函数化简的方法主要有()化简法和()化简

法两种。

66.利用卡诺图化简法化简逻辑函数时,两个相邻项合并,消去

一个变量,四个相邻项合并,消去()个变量等。一般来说,2n个相邻一方格合并时,可消去()个变量。

67.在某些特定情况下,逻辑表达式中会包括无关项,()和()统

称为无关项。

68.逻辑函数F= B+D的反函数=()。

69.逻辑函数F=A(B+C)·1的对偶函数是()。

70.逻辑函数EF

+

=的对偶函数是()。

F+

D

C

AB

71.逻辑函数EF

=的反函数是()。

+

F+

C

AB

D

72.逻辑函数CD

=)

+

(的反函数是()。

A

B

F+

73.逻辑函数CD

=)

+

(的对偶函数是()。

A

B

F+

74. “与”逻辑F=AB 可以简记作:“全( )出1,有0出( )”。

75. 当两路输入相同时门电路输出为…1?,不同时输出为…0?此门电路为( )

逻辑。

76. 当或非门有一路输入为…1?时,门电路输出为( )。

77. 当异或门两路输入都为…0?时,门电路输出为( )。

78. 逻辑函数的三种表示方法中,表达形式唯一的是( )。

79. 逻辑函数B A A F += 可化简为( )。

80. 写出C B A C B A F ++=),,(的最小项表达式( )。

81. 三变量函数),,(C B A F 中任意项ABC 、C B A 和C B A 取与的结果是

( )。

82. 写出三变量函数),,(C B A F 所有的最小项( )、( )、( )、

( )、( )、( )、( )、( ),这些最小项取或的结果是( )。

83. 函数∑=)4,3,2,1(),,(m C B A F 的最大项表达式是( )。

84. 卡诺图上两个相邻的方格所代表的最小项只有( )个变量相异。

85. 集电极开路门的英文缩写为( )门,工作时必须外加

( )和( )。

86. O C 门称为( )门,多个OC 门输出端并联到一起可实现

( )功能。

87. 集成逻辑门电路主要有( )门电路和( )门电路。

88. T T L 门电路输入端悬空代表输入为( )电平,如果输入端

通过一个Ω10的电阻接地,对于T T L 门电路而言输入为( )电平。

89. 如果门电路的参数:V U O H 4.2min =,V U OL 4.0max =,V U IH 0.2min =,

V U IL 8.0max =,则噪声容限=NH U ( )

;=NL U ( )。 90. 若某型门电路的典型参数为OL I 、IL I 、OH I 和IH I ,则此门电路

的扇出系数=OL N ( );=OH N ( )。

91. 三态门的输出包括( )、( )和( )三种情

况。

92. 组合逻辑电路某时刻的输出和( )有关,与电路原来

的状态( )。

93. 用二输入与非门构成一个非门可将两个输入端( )或将

其中一个输入端( )。

94. 在设计组合逻辑电路时,如果采用或非门,对多余输入端

( )或和其他输入端( )。

95. LE D 数码管按其内部结构可以分为( )数码管和( )

数码管。

96. 显示译码器C D 4511必须与共( )极LE D 数码管配合使

用。

97. 若要使变量译码器74138进行正常译码则使能端=

A ST ( ),=

B ST ( ),=c ( )。

98. 若在编码器中有63个编码对象,则要求输出二进制代码位

数为( )位。

99. 一个8选1数据选择器的数据输入端有( )个,地址输

入端有( )个。

100. 消除组合逻辑电路中的竞争冒险现象,通常采用的方法有

( )、( )和( )。

101.所谓“门”电路,就是实现一些基本逻辑功能的电路,最基本的逻辑电路

包括 、 和 。

102.逻辑门电路可以由电容、 、 、 等分立元件构成,

这种门电路称为分立元件门电路。

103.分立元件门电路的缺点包括 、 和 等,因此

现代电子设备中几乎不使用。

104.现代电子设备中广泛采用集成电路,集成电路相的优点

有 、 、 ,以及可实现标准化和系列化。 105.集成电路了一般按照其工作原理可以分为 、 和 三大类。

106.数字集成电路从制造工艺角度可分为 集成电路和 集

成电路两大类。

107.双极性晶体管集成电路的主要优点是,其缺点是、。

108.单极性晶体管集成电路的优点是、,其缺点是。

109.如图所示分立元件门电路,其逻辑功能是,但输入端A,B输入均为高电平时,输出端F的输出为。

F

110.如图所示分立元件门电路,其逻辑功能是,但输入端输入电压A=3V、B=0V时,输出端F的输出电压为;如果A=0V、B=0V时,则输出端F的输出电压为。

F

111.如图所示分立元件门电路,其逻辑功能是,但输入端A,B 输入均为高电平时,输出端F的输出为。

112.如图所示分立元件门电路,其逻辑功能是,但输入端输入电压A=3V、B=0V时,输出端F的输出电压为;如果A=0V、B=0V时,则输出端F的输出电压为。

113.由三极管的工作状态可以分为、和3种状态,如果用三极管来构成非门则其主要工作在截止区和饱和区。114.TTL门电路即是指晶体管-晶体管逻辑门电路,因为其电路的和都是采用晶体管而得名。

115.标准的TTL与非门电路,通常可以分为三个部分,他们是、和。

116.TTL电路中所说的高电平常用表示,而低电平常用表示,通常我们将这两个电压成为TTL电路的标准电压。

117.TTL门电路中,在保证输出高、低电平基本不变(在允许的变化范围内)的条件下,输入电平的允许波动范围称为输入端。

118.TTL门电路中,为了保证输出状态稳定,通常前一级的输出高电平电压的最小值必须比后一级的输入高电平最小值的电压;同时,前一级的输出低电平电压的最大值必须后一级输入端低电平最大值电压。119.TTL门电路中,已知最大输出低电平电压U OLmax;最大输入低电平电压U ILmax;最小输出高电平电压U OHmin;最小输入高电平电压U IHmin;则输入高电平时的噪声容限是;则输入低电平的噪声容限是。

120.74系列逻辑门电路的标准参数是:U OHmin=2.4V,U OLmax=0.4V,U IHmin=2.0V,U ILmax=0.8V,故可得到74系列的噪声容限为:U NH= ;U NL= 。121.TTL门电路如果其输入端悬空代表逻辑(1/0/不确定);CMOS门电路如果输入端悬空则代表代表逻辑(1/0/不确定)。

122.二输入TTL与非门两输入端一端接地,另一端悬空则,输出为电平;

如果两输入端均通过10千欧电阻接地,则输出为电平。

123.二输入TTL或门电路,两输入端一端接地,另一端悬空,则输出为电平;若两端都通过10欧姆电阻接地,则输出为电平。

124.在讨论TTL 门电路输出特性时,通常使用输出特性曲线来表示,它描绘了

和 之间的关系曲线。

125.通常负载特性曲线分为两种情况讨论, ,即输出电平的特

性; ,即输出高电平时的特性。

126.TTL 与非门的输出接上负载后,其负载可以分为 负载和拉电流负

载。这里的负载能力是专指输出端所能驱动的同类门的最大能力,称为 。

127.拉电流负载增大会使与非门的输出电平 (下降/上升),当负载达

到一定时,输出电压就不能保证 (大于/小于)最小输出高电平,也就是说输出接拉电流负载是有所限制的。

128.灌电流负载增大会使与非门的输出电平 (下降/上升),当负载达

到一定时,输出电压就不能保证 (大于/小于)最小输出高电平,也就是说输出接拉电流负载是有所限制的。

129.标准TTL 的典型参数为:OL I =16mA ,IL I = -1.6mA ,OH I =0.4mA ,

IH I =0.04mA ,由此可知OH N = ,OL N = ,则该电路的扇出系数为 。

130.普通TTL 与非门, (允许/不允许)两个或两个以上的门电路的

输出连接在一起,而OC 门电路则 (允许/不允许)将若干门的输出连接在一起,从而形成 。

131.三态门又称为TSL 门,包括了 、 和 。

132.OC 门是一种特别的TTL 门,其主要应用有 、 和 。 133.在数字系统中,系统的接口部分常常需要电平的转换,通常可以用OC 门

来实现,只需根据需要选择 电压即可。

134.因为OC 门输出低电平时 较大,所以可用OC 门来驱动发光二极

管、指示灯、继电器或脉冲变压器等。

135.在一些复杂的数字系统中,为了减少各个单元电路之间连线的数目,希望

能在同一条线上分时传递若干个门电路的输出信号,使用 就可以把各个门的输出信号轮流送到公共的传输线上,这种连接方式称为 结构。

136.TTL门电路通常分为两类系列为军品,系列为民品,系列工作温度范围大于系列。

137.输入端不能直接与高于和低于的低内阻电源连接,否则将损坏芯片。

138.TTL电路输入端悬空等效于接,但在实际应用中,不用的输入端悬空易,因此不用的输入端因妥善处理。

139.输出端(允许/不允许)直接接到5V电源或地端,否则会损坏电路,但可以通过与电源相连。

140.在电源接通时,(可以/不可以)插拔集成电路,因为电流的冲击可能会造成其永久性损坏。

141.TTL门电路中多余的输入端如果悬空则等效于,CMOS门电路中多余输入端(允许/不允许),否则输出端状态(确定/不确定)。142.TTL门电路带同类型门电路的个数(大于/小于)CMOS门电路;

而TTL门电路门静态功耗(大于/小于)CMOS门电路。

143.TTL门电路输出端接普通负载时应保证其输出电流小于

和,否则其输出电压得不到保证,严重时可能烧坏器件。

144.为保护器件不被击穿,在测试器件时,应先(开电源/加信号)后

(开电源/加信号),关机时则真好相反。

145.传输门的导通电阻很(高/低),相当于开关(合上/断开);截止电阻很(高/低),相当于开关(合上/断开),因此传输门电路近似于一种理想的开关。

146.下图中TTL门电路输出F应为。

F

147.下图中TTL门电路输出F应为。

F

148.下图中TTL门电路输出F应为。

F

149.下图中TTL门电路输出F应为。

150.下图中TTL门电路输出F应为。

F

10

三、分析与设计题

1、分析下列电路图的逻辑关系,并填写真值表、写出逻辑表达式。

2、分析下列电路图的逻辑关系,并填写真值表、写出逻辑表达式。

F

C

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑电路试题

院系: 专业班级: 学号: 姓名: 座位号: A. 4 B. 3 C. 6 D. 5 7. 下列电路中属于时序逻辑电路的是 【 】 A. 加法器 B. 数据分配器 C. 计数器 D. 译码器 8. 下列关于门电路的使用,描述不正确的是 【 】 A. TTL 与非门闲置输入端可以直接接电源 B. 具有推拉输出结构的TTL 门电路的输出端可以直接并联使用 C. CMOS 或门闲置输入端应接地 D. CMOS 门电路的闲置输入端不允许悬空 9. 为了降低555定时器组成多谐振荡器的振荡频率,外接R 、C 值应为 【 】 A. 同时增大R 、C 值 B. 同时减小R 、C 值 C. 同比增大R 值减小C 值 D. 同比增大C 值减小R 值 10. 若停电数分钟后恢复供电,下列选项中信息能够保持不变的是 【 】 A. ROM B. 动态RAM C. MUX D. 静态RAM 1. 8位D/A 转换器的理论分辨率是_____________________。 2. 64个输入端的编码器按二进制数编码时,输出端的个数是__________________。 3. 变量数相同时,下标编号相同的最大项i M 和最小项i m 的关系是_____________。 4. 图2.1所示集成计数器的模M=_____________________。 图2.1 (题2.4图) 5. 共阳极接法数码显示器需要配用输出 电平有效的译码器。 二、填空题 (每小题2分,共20分)

6. 对于T 触发器,当T=______时,触发器处于保持状态。 7. 逻辑函数C B AB F +=的反函数F 为_____________________。 8. 5个变量的逻辑函数全部最大项有_____________________个。 9. 二进制数()20110.101110转换成十进制数是___________________。 10. 同步RS 触发器的特性方程中,约束条件为RS=0,说明这两个输入信号不能同时为_____________________。 1. 时序逻辑电路中可以没有门电路,但是必须要有触发器。 ( ) 2. 对于二进制正数,反码和补码相同。 ( ) 3. 半加器只能用于对两个1位二进制数相加。 ( ) 4. 多谐振荡器需要输入触发信号才可以输出矩形脉冲。 ( ) 5. 逻辑函数的取值与逻辑变量的取值不同,可以有0、1、2等多种可能。 ( ) 6. 分析组合逻辑电路的目的是要得到逻辑电路的真值表。 ( ) 7. 数字逻辑电路的晶体管和模拟电路的晶体管工作状态相同。 ( ) 8. 同步时序逻辑电路有稳定状态,异步时序逻辑电路没有稳定状态。 ( ) 9. 两个或多个OC 门的输出端可以直接相连,实现线与。 ( ) 10. 可编程阵列逻辑PAL 的与阵列可编程,或阵列不可编程。 ( ) 1. 写出图4.1所示电路表示的逻辑函数关系式; F A C B 图4.1(题4.1) F= _____________________ 2. 画出实现逻辑函数C B A ABC Y +=的门电路图; 3. 由D 触发器和与非门组成的电路如图 4.2所示,试画出Q 端的波形,设电路 初态为 0; A Q 12345CP A Q 图4.2(题4.2) 4. 用卡诺图法将逻辑函数()∑=)15,14,12,10,8,7,5,2,0(m D ,C ,B ,A Y 化成最简 “与或”表达式。 四、综合题 (每小题5分,共20分) 三、判断题(正确的在题号后括号内填写“T ”,错误的填写“F ”) (每小题1分,共10分)

数字电路基础考试题9答案

A 卷 一.选择题(18) 1.以下式子中不正确的是( C ) a .1A =A b .A +A=A c . B A B A +=+ d .1+A =1 2.已知B A B B A Y ++=下列结果中正确的是( ) a .Y =A b .Y =B c .Y =A +B d .B A Y += 3.TTL 反相器输入为低电平时其静态输入电流为( ) a .-3mA b .+5mA c .-1mA d .-7mA 4.下列说法不正确的是( ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .O C 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 5.以下错误的是( ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 6.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 6. A 7. B 8. A 9. B b .时序电路必然存在状态循环

c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“011”,请问时钟作用下,触发器下一状态为( ) a .“110” b .“100” c .“010” d .“000” 8、下列描述不正确的是( ) a .时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。 b .寄存器只能存储小量数据,存储器可存储大量数据。 c .主从JK 触发器主触发器具有一次翻转性 d .上面描述至少有一个不正确 9.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 使用方便 b .集成二—十进制计数器和集成二进制计数器均可方便扩展。 c .将移位寄存器首尾相连可构成环形计数器 d .上面描述至少有一个不正确 二.判断题(10分) 1.TTL 门电路在高电平输入时,其输入电流很小,74LS 系列每个输入端的输入电流在40uA 以下( ) 2.三态门输出为高阻时,其输出线上电压为高电平( ) 3.超前进位加法器比串行进位加法器速度慢( ) 4.译码器哪个输出信号有效取决于译码器的地址输入信号( ) 5.五进制计数器的有效状态为五个( ) 6. 施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。( ) 7. 当时序逻辑电路存在无效循环时该电路不能自启动() 8. RS 触发器、JK 触发器均具有状态翻转功能( ) 9. D/A 的含义是模数转换( ) 10.构成一个7进制计数器需要3个触发器( ) 三.计算题(5分) 如图所示电路在V i =和V i =5V 时输出电压 V 0分别为多少,三极管分别工作于什么区(放 大区、截止区、饱和区)。 V i 10k 3k GND +5V V 0

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

西安电子科技大学网教数字逻辑电路模拟题资料

西安电子科技大学网教数字逻辑电路模拟 题

模拟试题一 一、单项选择题(每题 2分,共30分) 1 、下列数中最大的数是 [ ] 。 A ( 3.1 ) H B ( 3.1 ) D C (3.1) O D (11.1) B 2 、( 35.7 ) D 的余 3BCD 是 [ ] 。 A 00110101.0111 B 00111000.1010 C 00111000.0111 D 01101000.1010 3 、与非门的输出完成 F= , 则多余输入端 [ ] 。 A 全部接高电平 B 只需一个接高电平即可 C 全部接地电平 D 只需一个接地即可 4 、逻辑函数 F= + B 的最小项标准式为 [ ] 。 A F= B F= C F= D F= 5 、与 AB + AC +相等的表达式为 [ ] 。 A C B C + C D A + 6 、函数 F=(A + C)(B +) 的反函数是 [ ] 。 A G=( + B) ·+· B G=A + C + B · C G=(A +) · C + B · D G=(A ) ·+ (B+ ) 7 、逻辑函数的逻辑相邻项是 [ ] 。 A A C B A C B D D ABC

8 、已知输入 A 、 B 和输出 F 的波形如图所示, 其 F 与 AB 的逻辑关系为 [ ] 。 A 与非 B 或非 C 异或 D 同或 9 、下列逻辑部件属于时序电路的是 [ ] 。 A 译码器 B 触发器 C 全加器 D 移位寄存器 10 、数据选择器的功能是 [ ] 。 A 将一路输入送至多路输出 B 将输入二进制代码转换为特定信息输出 C 从多路输入选择一路输出 D 考虑低位进位的加法 11 、逻辑函数用卡诺图化简时,八个逻辑相邻项合并可消去 [ ] 。 A 一个变量 B 二个变量 C 三个变量 D 四个变量 12 、 JK 触发器从 0 1, 则激励端 J 、 K 的取值为 [ ] 。 A JK=1X B JK=X0 C JK=X1 D JK=0X 13 、移位寄存器的现态为 0110 ,经过左移一位后,其次态为 [ ] 。 A 0110 或 1011 B 1011 或 1010 C 0110 或 1110 D 1101 或 1100 14 、 4 级触发器组成计数器,组成 13 进制计数器,其无效的状态数为 [ ] 。 A 3 个 B 4 个 C 13 个 D 16 个 15 、 N 级触发器组成环形计数器,其进位模为 [ ] 。 A N B 2N C D 二、填空题(每题 2 分,共 10 分) 1. 格雷码的特征是 ________________ 。 2. F= =________________ 。

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

金陵科技学院数字逻辑电路期末考试试卷

分)20一、选择题(每小题2分,共 。273)中,它的第三位数2 的位权为___B___1.八进制(8 (8) D.B.(64) C.(256)A.(128) 10101010 与它功能相等的函数表达式已知逻辑表达式2. C?AC?BF?AB, _____B____。CF?AB?ABF?A.B.C?AB?AF C?AB?BF..CD 数字系统中,采用____C____可以将减法运算转化为加法运算。3. 码BCD D.补码B.ASCII码C.A.原码 ___B_____其反 映的逻辑关系是。4.对于如图所示波形,D.无法判 断.异或关系C.同或关系A.与关系B____B_____1的结果是连续异或。1985个5. .逻辑概念错误C.不确定D.0B.1 A功能相等的表达式为6. 与逻辑函数___C_____。DC?F?A?B? D?AF??B?CD??C?F?AB.BA.DC?F?ABF?ACDB? D..C为高阻态的逻辑FC=1时,;时,F=7.下列所给三态门中,能实现 C=0AB A

& 。功能的是____A______ F B A & C EN B F B C A A &&EN F B F B A C C EN EN C D 页7 共页1 第卷答案3 数字逻辑电路 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A. 500KHz B.200KHz 50KHz .. 100KHz D D CP Q 。9.下列器件中,属于时序部件的是_____A_____ .多路选择器D C.加法器BA.计数器.译码器 ,”“5.下图是共阴极七段LED数码管显示译码器框图,若要显示字符10 。应为____C______则译码器输出a~g0011011 D.1100011 C. 1011011

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字电子技术基础试题与答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F

2.证明逻辑函数式相等:()() ++++=+ BC D D B C AD B B D 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式:

(2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1K Ω,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f 和占空比q。 图1

5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………………密……………………封…………………………装…………………订………………………线………………………

数电实验 组合逻辑电路

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 组合逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解典型组合逻辑电路的工作原理。 2. 熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3. 掌握组合集成电路元件的功能检查方法。 4. 掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 5. 熟悉全加器和奇偶位判断电路的工作原理。 二.实验内容和原理 组合逻辑电路设计的一般步骤如下: 1.根据给定的功能要求,列出真值表; 2. 求各个输出逻辑函数的最简“与-或”表达式; 3. 将逻辑函数形式变换为设计所要求选用逻辑门的形式; 4. 根据所要求的逻辑门,画出逻辑电路图。 实验内容: 1. 测试与非门74LS00和与或非门74LS55的逻辑功能。 2. 用与非门74LS00和与或非门74LS55设计一个全加器电路,并进行功能测试。 专业: 电子信息工程 姓名: 学号: 日期: 装 订 线

3. 用与非门74LS00和与或非门74LS55设计四位数奇偶位判断电路,并进行功能测试。 三. 主要仪器设备 与非门74LS00,与或非门74LS55,导线,开关,电源、实验箱 四.实验设计与实验结果 1、一位全加器 全加器实现一位二进制数的加法,他由被加数、加数和来自相邻低位的进数相加,输出有全加和与向高位的进位。输入:被加数Ai,加数Bi,低位进位Ci-1输出:和Si,进位Ci 实验名称:组合逻辑电路 姓名:学号: 列真值表如下:画出卡诺图: 根据卡诺图得出全加器的逻辑函数:S= A⊕B⊕C; C= AB+(A⊕B)C 为使得能在现有元件(两个74LS00 与非门[共8片]、三个74LS55 与或非门)的基础上实现该逻辑函数。所以令S i-1=!(AB+!A!B),Si=!(SC+!S!C), Ci=!(!A!B+!C i-1S i-1)。 仿真电路图如下(经验证,电路功能与真值表相同):

数字电子技术基础试题和答案

一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是()、()、()和()。2.将2004个“1”异或起来得到的结果是()。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入()电平。 5.基本逻辑运算有: ()、()和()运算。 6.采用四位比较器对两个四位数比较时,先比较()位。 7.触发器按动作特点可分为基本型、()、()和边沿型; 8.如果要把一宽脉冲变换为窄脉冲应采用()触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是()电路和()电路。 10.施密特触发器有()个稳定状态.,多谐振荡器有()个稳定状态。 11.数字系统按组成方式可分为、两种; 12.两二进制数相加时,不考虑低位的进位信号是()加器。 13.不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 15.计数器按CP脉冲的输入方式可分为___________和___________。 16.触发器根据逻辑功能的不同,可分为___________、___________、___________、___________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用___________、___________、___________等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有个稳态,它可存储位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用电路。 20.把JK触发器改成T触发器的方法是。 二.数制转换(5分): 1、(11.001)2=()16=()10 2、(8F.FF)16=()2=()10 3、(25.7)10=()2=()16 4、(+1011B)原码=()反码=( )补码 5、(-101010B)原码=()反码=( )补码

实验一组合逻辑电路设计

电子信息工程晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个优先级区分器。该公司收到有A,B,C,三类,A,类的优先级最高,B 类次之,C类最低。到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的同时到达时,对优先级最高的先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输出高低电平代表到

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数字

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+

3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1KΩ,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f和占空比q。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态

时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出:

①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4

组合逻辑电路实验与解答

湖北第二师范学院实验 组合逻辑电路 物机学院-11应用物理学 一、实验目的 1.掌握用与非门组成的简单电路,并测试其逻辑功能。 2.掌握用基本逻辑门设计组合电路的方法。 二、实验原理 数字电路按逻辑功能和电路结构的不同特点,可分为组合逻辑电路和时序逻辑电路两大类。组合逻辑电路是根据给定的逻辑问题,设计出能实现逻辑功能的电路。用小规模集成电路实现组合逻辑电路,要求是使用的芯片最少,连线最少。一般设计步骤如下: 1.首先根据实际情况确定输入变量、输出变量的个数,列出逻辑真值表。 2.根据真值表,一般采用卡诺图进行化简,得出逻辑表达式。 3.如果已对器件类型有所规定或限制,则应将函数表达式变换成与器件类型相适应 的形式。 4.根据化简或变换后的逻辑表达式,画出逻辑电路。 5.根据逻辑电路图,查找所用集成器件的管脚图,将管脚号标在电路图上,再接线 验证。 三、实验仪器及器件 数字实验箱一台,集成芯片74LS00一块、74LS20三块,导线若干。 四、实验内容 1.用非与门实现异或门的逻辑功能 (1) 用集成电路74LS00和74LS20(74LS20管脚见图1所示),按图2连接电路(自己设计接线脚标),A、B接输入逻辑,F接输出逻辑显示,检查无误,然后开启电源。 图1 74LS20集成电路管脚图 (2) 按表1的要求进行测量,将输出端F的逻辑状态填入表内.

表1 输出真值表 图 2-电路接线图 (3) 由逻辑真值表,写出该电路的逻辑表达式 F= B A B A ?+? 2. 用与非门组成“三路表决器” (1) 用74LS00和74LS20组成三路表决器,按图3连接电路(自己设计接线脚标),A ,B ,C 接输入逻辑,F 接输出逻辑显示,检查无误,然后开启电源。 (2) 按表2的要求进行测量,将输出端F 的逻辑状态填入表内。 A 表 2输出真值表 & B F C 图 3 电路接线图 3. 设计一个“四路表决器”逻辑电路并测试 设计一个四变量的多路表决器。当输入变量A 、B 、C 、D 有三个或三个以上为1时,输出F 为1;否则输出F 为0。 (1)根据设计要求列出表3四人表决器真值表。 (2)用卡诺图化简逻辑函数,写出逻辑 表达,F= D C B D C A D B A C B A ??+??+??+??. (3)用74LS20与非门实现“四人表决器”,画出实验电路,标出接线脚并测试,验证所列真值表。 输 入 输 出 A B F 0 0 0 0 1 1 1 0 1 1 1 0 输 入 输 出 A b CF 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 & & & & && & & & A B F

相关文档
相关文档 最新文档