文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑2014-2015(2)复习资料课案

数字逻辑2014-2015(2)复习资料课案

数字逻辑2014-2015(2)复习资料课案
数字逻辑2014-2015(2)复习资料课案

第一章数制与编码

1、二、八、十、十六进制数的构成特点及相互转换;

2、有符号数的编码;

3、格雷码的特点;各种进制如何用BCD码表示;

4、有权码和无权码有哪些?

例:

一、选择题

1、(1100110)B=()8421BCD=()D=()H=()O (178)10=()2=()8421BCD=()16=()8

2、将数1101.11B转换为十六进制数为( A )

A. D.C H

B. 15.3H

C. 12.E H

D. 21.3H

3、在下列一组数中,最大数是()。

A.(258)D

B.(100000001 )B

C.(103)H

D.(001001010111 )8421BCD

4、若用8位字长来表示,(-62)D=( )原

5、属于无权码的是()

A.8421 码

B.余3 码

C.2421 码

D.自然二进制码

6、分别用842lBCD码表示(10011000)2为()

A.230

B.98

C.980

7、十进制数33的余3码为()。

A.00110110

B.110110

C.01100110

D.100100

8、数字电路中使用的数制是()。

A.二进制

B.八进制

C.十进制

D.十六进制

9、二进制数[101101]2和下列数中()相等

A.[46]10

B.[2D]16

C.[54]8

D.[101101]BCD

10、在时间和数值上都断续变化的离散信号叫做()。

A.数字信号

B.断续信号

C.模拟信号

D.连续信号

二、判断题

1、格雷码具有任何相邻码只有一位码元不同的特性。()

2、8421BCD码、5421BCD码、2421BCD码都是有权的二-十进制编码。()

3、BCD码是一种人为选定的0~9十个数字的代码,可以有许多种。()

4、8421BCD码是有权的二-十进制编码。( )

第二章逻辑代数基础

1、基本逻辑运算和复合逻辑运算的运算规律、电路符号;

2、逻辑代数的基本定律及三个规则;

3、逻辑函数表达式、逻辑图、真值表及相互转换;

4、最小项、最大项的性质;

5、公式法化简;卡诺图法化简(有约束的和无约束的)。

例:

一、选择题

1、,当时,

A.

B.

C.

D.

2、逻辑代数中有3种基本运算是指()。

A.或非,与或,与或非

B.与非,或非,与或非

C.与非,或,与或

D.与,或,非

3、在四变量卡诺图中,逻辑上不相邻的一组最小项为:()

A.m1与m3

B.m4与m6

C.m5与m13

D.m2与m8

4、逻辑函数F(A,B,C) = AB+BC+的最小项标准式为()。

A.F(A,B,C)=∑m(0,2,4)

B.F(A,B,C)=∑m(1,5,6,7)

C.F(A,B,C)=∑m (0,2,3,4)

D.F(A,B,C)=∑m(3,4,6,7)

5、含有n个变量的逻辑函数包含()个最小项。

A.n

B.2n

C.2n

D.n2

6、逻辑函数的表示方法中具有唯一性的是( )。

A .真值表 B.表达式 C.逻辑图 D.卡诺图

F=( )。

A

A

7、逻辑函数)

(B

A.B

A

B.A

C.B

D.B

8、和ABCD相邻的最小项为()。

A.

B.

C.

D.

9、逻辑函数的最简与或式为()。

A.

B.

C.

D.

10、逻辑函数FBA BA C的最简与或式为()。

A.F A B AC B.F B AC C.F A B D.F A BC

11、在逻辑函数中的卡诺图画简中,被合并的最小项数越多(画的圈越大),则说明

画简后()。

A.乘积项个数越少

B.实现该功能的门电路少

C.该乘积项含因子少

D.乘积项和乘积项因子两者皆少

12、一个班级中有四个班委委员,如果要开班委会,必须这四个班委委员全部同意

才能召开,其逻辑关系属于()逻辑关系。

A.与

B.或

C.非

D.与非

二、判断题

1、n变量的全部最大项的逻辑乘恒为0。()

2、由于约束项的值始终为0,所以化简时在卡诺图的相应位置上应填入0。()

3、因为逻辑式A+(A+B)=B+(A+B)是成立的,所以等式两边同时减去(A+B),得

A=B也是成立的。()

4、逻辑非运算允许有多个逻辑自变量。()

5、一个n变量的函数,含有2n个最小项。()

6、1+1=1符合“或”逻辑关系。()

7、异或运算关系,当两输入相等时,其输出为0;()

三、填空题

1、图中能实现TTL门的功能_________________________

2、用二进制代码表示十进制数85时,至少需要____位二进制。

3、在三极管开关电路中,如果输入电平为低电平,三极管的工作状态是

________________

4、一个数字信号只有______种取值,分别表示为______和______。

5、“全1出0,有0出1”描述的逻辑关系是______。

6、逻辑或是当决定事物结果的条件______具备时,结果才发生。而逻辑非是当决定事

物结果的条件______具备时,结果才发生。

四、计算题

1、用卡诺图画简法将下列函数画简为最简或与式。

2、用卡诺图化简法将下列函数画成最简与或式、或与式

Y(A,B,C,D)=

m

)

14

,

12

,

10

,8,6,4,0(

3、用卡诺图法将下列函数化简为最简与或式。

Y BCD BC ACD ABC

4、用卡诺图画简法将下列函数画简为最简与或式。

5、用卡诺图画简法将下列函数画简为最简与或式。Y ACD ABCD ABD约束条件AB+AC=0

6、试写出图题各逻辑图的表达式。

A

B

C X

第四章组合逻辑电路

1、组合逻辑电路的特点;

2、组合逻辑电路的分析方法;

分析步骤:

3、组合逻辑电路的设计方法;设计步骤:

4、编码器:用文字、符号或者数码表示特定信息的过程称为编码;实现编码的电路称为编码器。

二进制编码器

编码原则:N 位二进制代码可以表示2N 个信号,则对M 个信号编码时,应由2

N

≥M来确定位数N 。二—十进制编码器:用 4 位二进制代码对0 ~ 9 十个信号进行编码的电路

5、译码器:译码是将具有特定含义的二进制代码翻译成原始信息的过程。能够实现译码功能的的电路叫做译码器。译码是编码的反过程。二进制译码器二-十进制译码器显示译码器

芯片:74LS138

6、数据选择器:

74LS138

Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 A 0 A 1 A 2 S 3 S 2 S 1

Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7

A 0 A 1 A 2

ST C ST B ST A

Y 7

逻辑图逻辑表达式化简真值表说明功能

逻辑抽象列真值表

画逻辑图

输入n 位二进制代码

A 0Y 0A 1

A n-1

Y 1

Y m-1

二进制

译码器

输出m 个信号m = 2

n

写表达式化简或变换

能够从多路数据输入中选择一路作为输出的电路。8选1数据选择器74LS151,4选一数据选择器74LS153。

主要应用:函数发生器。

7、数据比较器

8、加法器

例:

一、选择题

1、1. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A2A1A0=000

时,输出应为()。

A.11111110

B.11011111

C.11110111

D.11111011

2、电路的输出状态仅与当前的输入信号有关,与前一时刻的输出无关,这种电路为

A.组合电路

B.时序电路

C.门电路

D.分立元件

3、4选1数据选择器构成逻辑函数产生器的电路连接如图所示,该

电路实现的逻辑函数是

A.

B.

C.

D.

4、四输入的译码器,其输出端最多为()。

A.4个

B.8个

C.10个

D.16个

B

A

C

Y

AB

C

B

A

5、如果对键盘上108个符号进行二进制编码,则编码器输出至少()位二进制数码

才能满足要求。

A.6

B.7

C.8

D.9

6、组合逻辑电路的特点是()。

A.含有存储元件

B.输出、输入间有反馈通路

C.电路输出与以前状态无关

D.全部由计数器构成7、欲实现一个三变量的组合逻辑函数,可选用()电路的芯片。A.编码器 B.译码器 C.数值比较器 D.加法器8、电路任意时刻的输出都与电路原来的状态无关,这样的电路是(

)。

A.组合逻辑电路

B.时序逻辑电路

C. A 、B 都有可能

D. A 、B 都不是9、在下列逻辑电路中,不是组合逻辑电路的是()。A. 译码器B. 编码器C. 全加器D. 寄存器10、

已知函数为)7,6,3,1(1

m F

,要实现此函数可采用74LS138结合与非门电路实

现,器与非门接74LS138的输出端为。

A 、4

5

6

7

Y

Y Y Y B 、4

3

6

7

Y

Y Y Y C 、1

3

6

7

Y

Y Y Y D 、1

5

6

7

Y

Y Y Y 二、判断题

1、共阴接法发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱

动。( )

2、八路数据分配器的地址输入(选择控制)端有8个。()

3、组合逻辑电路与时序逻辑电路的区别是组合逻辑电路的输出只与该时刻的输入有

关,时序逻辑电路与其正好相反。()

4、组合逻辑电路在每一个时刻的输出只与该时刻的输入有关,具有即时性。()

5、寄存器、计数器都属于组合电路;编码器、译码器属于时序电路。()

6、.数据选择器除用作多路开关外,还可以产生逻辑函数。()

7、数值比较器在比较两个多位数的大小时,是按照从低位到高位的顺序逐位比较的。

( ) 三、计算题

1、电路如图所示,请写出Y 的逻辑函数式,列出真值表,指出电路完成了什么功能?

2、组合逻辑电路如右图所示:

1)分别写出Z 1、Z 2、Z 3和Z 的逻辑表达式;2)根据Z 的逻辑表达式列出真值表;3)用文字描述该电路的逻辑功能;

3、

试分析下图逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。

A B C A B

Y

4、组合逻辑电路如右图所示:

1)写出Z 的逻辑表达式并列出真值表;2)用文字描述该电路的逻辑功能;

5、在举重比赛中,有三名裁判,其中包括一名主

裁判和两名副裁判。比赛时,只有主裁判判定

运动员成绩有效、加上至少一名副裁判判定运

动员成绩有效时,该运动员的成绩才有效,试列出真值表,并求出函数式。

6、设计一多数表决电路。要求A 、B 、C 三人中只要有半数以上同意,则决议就能通

过。但A 还具有否决权,即只要A 不同意,即使多数人意见也不能通过,要求用最少的与非门实现并画出电路图。7、设计一个三输入奇校验电路,即输入奇数个

1时输出为1,否则输出为0。要求:列

出真值表,并写出函数式。

&

&

&

&

&

A B C

Z 1

Z 2

Z 3

Z

A B

C Z

Z 1

Z 2

Z 3

8、74LS138可以作为函数发生器,试写出下图所示的函数表达式(不需要化简)。

9、用3线-8线译码器74LS138和门电路实现组合逻辑函数。

10、数据选择器可以作为函数发生器使用,四选一数据选择器74LS153的逻辑功能表如

下所示。试用74LS153产生下列逻辑函数,要求写出求解步骤,并画出电路图。(在原图上画即可)

11、设计一个“逻辑不一致”电路,要求4个输入逻辑变量取值不一致时输出为1,取值

一致时输出为0。

标准答案:(1)用M、N、P、Q代表四个输入逻辑变量,Z代表输出。(2)列真值表,求出函数式。

12、数据选择器可以作为函数发生器使用,八选一数据选择器74LS151的逻辑功能表如

下所示。试用74LS151产生下列逻辑函数,要求写出求解步骤,并画出电路图。(在原图上画即可)。

第五章集成触发器

1、基本触发器的电路结构及工作原理;

2、时钟触发器:RS 触发器、D 触发器、JK 触发器、T 触发器、T ’触发器的特性方程、特性表、时序波形图

3、边沿触发器的时序波形图的画法。例:

一、选择题与填空题

1、正边沿D 触发器,在时钟脉冲CP 正边沿到来前D 为1,而CP 正边沿后D 变为0,则CP 正边沿后为(

A 、Q=0

B 、Q 不定

C 、1

Q D 、Q=1

2、存在约束条件的触发器是()。A.基本RS 触发器 B.D 锁存器C.主从JK 触发器

D.D 触发器

3、由与非门构成的基本RS 触发器,当1,0S

R

时,则()。

A 、Q=1

B 、Q=0

C 、0

Q D 、Q 不定

4、下列触发器具有空翻现象()

A.基本RS 触发器B .边沿D 触发器C .同步D 触发器

D .主从JK 触发器

5、边沿式D 触发器是一种()稳态电路。A.无

B.单

C.双

D.多

6、预将触发器置为“1”态,应在异步复位端D R 和异步置位端D S 分别加()电平信号。

A 、0,0D D S R

B 、1

,0D D S R

C 、0

,1D D

S R D 、1

,1D D

S R 7、具有“置0” “置1 ” “保持”和“翻转”功能的触发器叫(

)A.JK 触发器

B.D 触发器

C.T 触发器

D.T ’触发器

8、T 触发器特性方程。

A .n

n

n TQ

TQ Q 1

B .n

n Q T Q 1C .n

n

n Q

T Q T Q 1D .n

n Q

Q

1

9、对边沿JK 触发器,当J=1、K=0时有效时钟脉冲到来时实现的功能是

A. Q n+1=Q

n

B. Q n+1

=1

C. Q n+1

=0 D. n

n Q

Q

=1

+10、对于JK 触发器的两个输入端,当输入信号相反时构成______触发器,当输入信号

相同时构成___________触发器。二、判断题

1、由两个TTL 或非门构成的基本RS 触发器,当R=S=1时,触发器的状态为不定。

( )

2、触发器是能够存储 1 位二进制信息的基本单元电路。()

3、D 触发器的特性方程为Q n+1

=D ,与Q 无关,所以它没有记忆功能。()4、主从JK 触发器、边沿JK 触发器和同步JK 触发器的逻辑功能完全相同。(

) 5、具有异步S D 、R D 端的D 触发器也能够成防抖动开关。()6、在时钟触发器中,异步置

0端D R 和异步置1端D S 也受时钟脉冲的控制。(

)

7、对边沿JK 触发器,在CP 为高电平期间,当J=K=1时,状态会翻转一次。()

8、主从触发器解决了基本触发器空翻现象的缺点存。()

9、触发器有一对互补的输出端。()10、

主从触发器和边沿触发器克服了空翻,但它们存在一次变化现象。

三、计算题

1、设边沿D 触发器初始状态为0状态,试画出输出端Q 2的波形。

CP

2、已知A 、B 、CP 信号波形,画出输出端Q1的波形,设触发器初始状态为0状态。

3、设下图触发器的初始状态为Q=0,画出在CLK 信号连续作用下触发器输出端的电压

波形。

4、如图为主从JK 触发器,已知输入端J 、K 、RD 和CP 的电压波形如图所示,试画出输出端Q 的电压波形。

5、设下图触发器的初始状态为Q=0,画出在CLK 信号连续作用下触发器输出端的电压

波形。

1D

C1

Q 2

CP

第六章时序逻辑电路

1、时序逻辑电路的特点

2、时序逻辑电路的分析方法、步骤

3、计数器(异步、同步)

4、用集成计数器芯片构成N进制计数器的方法

反馈清零法(同步、异步)

反馈置数法

5、寄存器、移位寄存器及环形计数器、扭环形计数器

6、计数器的级联

7、同步计数器的设计方法

例:

一、选择题与填空题

1、一个四位二进制减法计数器的起始值为1001,经过100个时钟作用之后的值

为。

A.1100

B.0100

C.1101

D.0101

2、指出下列电路中能够把串行数据变成并行数据的电路应该是。

A.JK触发器

B.3/8线译码器

C.移位寄存器

D.十进制计数器

3、把一个五进制计数器与一个四进制计数器串联可得到进制计数器。

A.4

B.5

C.9

D.20

4、n级扭环计数器的无效状态数是()。

A.2n

B.2n–n

C.2n-2n

D.2n-1

5、同步计数器和异步计数器比较,同步计数器的显著优点是。

A.工作速度高

B.触发器利用率高

C.电路简单

D.不受时钟CP控制。

6、同样是由四个触发器构成的计数器,就状态利用率而言,最低的是()

A.十进制计数器

B.二进制计数器

C.环形计数器

D.扭环形计数器

7、用反馈复位法来改变8位二进制加法计数器的模值,可以实现模值范围的计

数器

A.1~15

B.1~16

C.1~32

D.1~256

8、若要设计一个脉冲序列为1101001110的序列脉冲发生器,应选用个触发器

()。

A.2

B.3

C.4

D.10

9、下边电路中,不属于时序逻辑电路的是_____ 。

A .计数器

B .全加器

C .寄存器

D .分频器

10、计数器的模是()。

A.触发器的个数

B.计数状态的最大可能个数

C.实际计数状态的个数

D.以上都对

11、根据组成计数器的各触发器状态翻转的时间与CP的关系分类,计数器可分

___________计数器。

A. 加法、减法及加减可逆;

B. 同步和异步;

C. 二、十和N进制;

D.摩尔型和米里型。

12、分析下图所示计数器的波形图,可知它是进制计数器。

A. 二进制计数器

B. 五进制计数器

C. 六进制计数器

D. 十进制计数器

13、N个触发器可以构成能寄存()位二进制数码的寄存器。

A.N-1

B.N

C.N+1

D.2N

14、欲实现模10计数器,至少需要个触发器。

15、型时序电路的输出不仅与电路内部的状态有关,且与外输入有关。型

时序电路的输出仅与电路内部的状态有关。

16、为了将一个字节数据串行移位到移位寄存器中,必须要个时钟脉冲。

17、时序逻辑电路按照其触发器是否有统一的时钟控制分为________________时序

逻辑电路和________________时序逻辑电路。

二、判断题

1、环形计数器在每个时钟脉冲CP作用时,仅有一位触发器发生状态更新。()

2、五个D触发器构成环形计数器,其计数长度为5。( )

3、D触发器的特征方程Q n+1=D,而与Q n无关,所以,D触发器不是时序电路。()

保持”“

翻转”功能的触发器是D触发器。()

4、仅具有“置0”“

置1”“

5、时序电路一定有触发器。()

6、利用反馈归零法获得N进制计数器时,若为异步置零方式,则状态S N只是短暂的

过渡状态,不能稳定而是立刻变为0状态。()

7、一个计数器在任意初始状态下如果都能进入到有效循环状态时,称其能自启动。

()

8、异步加法计数器应将低位的Q端与高位的CP端相连接。()

9、把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。( )

10、n 位二进制计数器的每一种状态都被编码为对应的n 位二进制整数。()

三、计算题

1、分析下图时序电路的逻辑功能,要求写出电路的驱动方程、状态方程和输出方程,

画出电路的状态表及状态转换图,说明它是Mealy 型电路还是Moore 型电路以及电路的功能。其中 A 为输入变量。

2、分析下图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出

电路的状态转换图。

3、分析图所示时序电路的逻辑功能,求出状态方程,列出状态表,画出状态图,并说

明电路能否自启动。

4、用4位二进制计数器74163构成十三进制计数器,要求:1)反馈表达式2)给各个控制端赋值并画出电路图(在原图上画即可)。

5、74LS162为同步十进制加法计数器,芯片逻辑电路符号及逻辑功能如图所示,CO 为

进位输出端,使用该芯片设计一个同步

七进制加法计数器,要求:1)写出反馈识别码

S N ,2)给各个控制端赋值并画出电路图(在原图上画即可)。

CP CR LD CT

T

CT

P

工作状态↑0 ×××清零↑ 1 0 ××置数× 1 1 0 1 保持× 1 1 ×0 保持↑

1

1

1

1

计数

CP CR LD

CT

T

CT

P

工作状态↑0 ×××清零↑

1

×

×

置数

CP

CT P CT T CO LD CR

Q 3

Q 2

Q 1

Q 0

D 3

D 2

D 1

D 0

74L S163

6、试画出如图所示时序电路的状态转换图,时序图。

7、采用JK 触发器组成电路,得到如图所示的输出波形,写出状态方程和驱动方程。

8、已知一时序电路的状态表如表所示,试作出相应的状态图。

× 1 1 0 1 保持× 1 1 ×0 保持↑

1

1

1

1

计数

CP

CT P CT T CO LD CR

Q 3

Q 2

Q 1

Q 0

D 3

D 2

D 1

D 0

74LS162

数字逻辑课程设计课案教学总结

数字逻辑设计课程设计指导书 适用专业:计算机大类 湖北工业大学 计算机学院 2016年11月

目录 一、课程设计目的 (1) 二、课程设计要求 (1) 三、课程设计内容 (1) 四、设计报告的内容和要求 (3) 五、课程设计考核方法 (3) 附录一自选课题参考题目 (4) 一、数码管显示控制器 (4) 二、乒乓球游戏机 (4) 三、智力竞赛抢答器 (4) 四、数字钟 (4) 五、交通灯控制器 (5) 六、双钮电子锁 (5) 七、彩灯控制器 (5) 八、速度表 (5) 九、出租车计价器 (6) 十、自动奏乐器一 (6) 十一、自动奏乐器二 (6) 十二、自动打铃器 (6) 十三、算术运算单元ALU的设计 (7) 十四、游戏机 (7) 十五、16路数显报警器 (7) 十六、脉冲按键电话按键显示器 (7) 十七、病房呼叫系统 (8) 十八、自动电子钟 (8) 十九、具有数字显示的洗衣机时控电路 (8) 二十、篮球比赛数字计分牌 (8) 二十一、电子日历 (9) 二十二、设计模拟中央人民广播电台报时电路 (9) 二十三、数字跑表 (9) 二十四、汽车尾灯控制器 (9) 二十五、篮球竞赛30秒计时器 (9) 二十六、拔河游戏机控制器 (10) 附录二TTL集成电路型号命名规则 (11) 附录三部分TTL集成电路管脚排列图 (14)

一、课程设计目的 《数字逻辑课程设计》是计算机大类学生的必修课之一,是《数字逻辑》课程的一个重要的实践教学环节,它与理论教学和实验教学相结合,培养学生综合运用所学的基础理论和掌握的基本技能来解决实际问题的能力。 课程设计通过完成一个课题的理论设计和实际调试工作,即能加深对所学知识的理解,又能培养综合的实践技能,从而提高分析问题和解决问题的能力。训练学生综合运用学过的数字逻辑的基本知识,独立设计比较复杂的数字电路的能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 二、课程设计要求 (一)教学要求 1.巩固和加深对数字逻辑各类型电路的设计方法及电子器件所构成电路的理解,并适当拓宽学生在电子线路领域的知识面。 2.初步掌握数字电路的设计、计算方法。能根据系统的技术指标,论证、拟订设计方案;选用合适的电路形式并进行工程计算及选择电路的元器件。 3.培养独立组织实验方案、正确选择使用实验仪器的能力,提高对功能电路和系统的安装调整、测试技术,以及综合运用所学理论知识解决实际问题的能力。(二)能力培养要求 1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 2.通过实际电路方案的比较分析、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 4.综合应用课程中学到的理论知识去独立完成一个设计任务。 5.培养严肃认真的工作作风和严谨的科学态度。 三、课程设计内容 从参考题目中自选1题进行资料查找和设计,具体课题及要求见附件1。 1.数码管显示控制器

数字逻辑课程设计数字时钟课程设计数电课程设计数字电子技术

数字逻辑课程设计 自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲 究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。然而随着时 间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的

功能。诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。在很多实际应 用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统, 从而应用到实际工作与生产中去。因此,研究数字时钟及扩大其应用,有着非常现实的意义。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路?目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择? 前言 (2) 目录 (2) 题目 (2) 摘要 (2) 关键字 (3) 设计要求 (3) 正文 (3) 1电路结构与原理图 (3) 2数码显示器 (3) 60进制计数和24进制计数 (4) 校时 (7) 振荡器 (8) 3.计算、仿真的过程和结果 (9) 鸣谢 (11) 元器件清单 (11) 参考文献 (11) 总结与体会 (11) 教师评语 (12) 数字时钟的课程设计 摘要: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高 的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前, 数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。本设计采用74LS290. 74LS47.BCD七段数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时 功能地实现?

《数字逻辑》数字时钟课程设计报告资料

《数字逻辑》课程设计报告 题目数字时钟 学院(部)信息工程学院 专业计算机科学与技术 班级计算机一班 学生姓名 学号20132402 6 月29 日至 7 月 3 日共1 周 指导教师(签字)

题目 一.摘要: 钟表的数字化给人们的生产生活带来了极大的方便,并且极大的扩展了钟表原先的报时功能。诸如定时自动报有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常警、学校的按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯,甚至各种定时电气的自启用等。所现实的意义。本次数电课设我组设计的数字时钟是由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路和计时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器在七段显示器上显示时间。 二.关键词: 校时计时报时分频石英晶体振荡器 三.技术要求: 1、有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能; 2、有计时功能,时钟不会在计时的时候停下。计时范围是0~99秒; 3、有闹铃功能,闹铃响的时间由使用者自己设置,闹铃时间至少一分钟; 4、要在七段显示器(共阴极6片)显示时间; 5、电子钟要准确正常地工作。 四、方案论证与选择: 钟表的是长期使用的器件,误差容易积累由此增大。所以要求分频器产生的秒脉冲要极其准确。而石英晶体产生的信号是非常稳定的,所以我们使用石英晶体产生的信号经过分频电路作为秒脉冲。秒脉冲信号经过6级计数器,分别得到“秒”、“分”、“时”的个位、十位的计时。由实际的要求,“秒”、“分”计数器为60进制的计数器,小时为24进制。由于74LS160十进制加法计数器易于理解使用,我们在设计各个计数器时都是由采用74LS160芯片级联构成。在计时部分,最小单位是0.01s,我们采用555多谐振荡器产生100HZ的信号作为秒脉冲进入一个4级计数器,计时范围是0~99秒。石英晶体

数字逻辑课程设计报告

数字逻辑课程设计报告

数字逻辑课程设计 多功能数字钟 班级: 学号: 课程设计人: 指导老师: 课题: 完成时间:

一、设计目的: 学会应用数字系统设计方法进行电路设计,熟练地运用汇编语言。 二、设计任务及要求: 1.记时、记分、记秒 2.校时、校分、秒清0 3.整点报时 4.时间正常显示 5.闹时功能 三、设计思路: 将整个闹钟分为以下几个模块,每个模块中都有详细的各部分的设计思路,源代码及仿真图像,生成的器件。 1.计时模块 计小时:24进制计数器 计分、计秒:60进制计数器 计时间过程: 计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号。 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位。 计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 二十四进制计数器代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt24 is port (clk:in std_logic; qh,ql:out std_logic_vector(3 downto 0)); end cnt24; architecture behave of cnt24 is signal q1,q0:std_logic_vector(3 downto 0); begin process(clk) begin if(clk'event and clk='1')then if(q1="0010" and q0="0011")then q1<="0000";q0<="0000"; elsif(q0="1001")then q0<="0000";q1<=q1+'1'; else q0<=q0+'1'; end if; end if; qh<=q1; ql<=q0;

课程设计-数字逻辑课程设计

数字电路课程设计(学年设计、学年论文)任务书 学院计算机与信息工程学院专业网络工程 课程名称数字电路题目四路彩灯显示电路系统 的设计 完成期限自2013年12月1日至2014年1月5日共5周 内容及任务一、项目的目的 (1)加深对电子线路的基本知识的了解和对电路的构建; (2)通过对问题的分析,选取相关的原件,系统设计,把实际问题转化为仿真电路问题; (3)提高团队的合作能力和动手能力; 二、项目任务的主要内容和要求 (1)设计四路彩灯显示电路,接通电源后,彩灯可以自动按预先设置闪烁; (2)设置的彩灯花型由三个节拍组成 第一节拍:从左向右逐次渐亮,灯亮时间1S共用4S. 第二节拍:四路彩灯从右向左逐次渐灭,也需4S。 第三节拍:四路彩灯同时亮0.5秒,然后同时变暗,进行四次,所需时间也是四秒。 (3)三个节拍完成一个循环,一共需要12S。一次循环之后重复进行闪烁。 三、项目设计(研究)思路 系统主要包括脉冲源、分频器、节拍控制器等,脉冲源采用秒脉冲发生器,用以提供频率为1Hz的时钟信号;分频器将1Hz的时钟信号四分频,用以产生0.25Hz(即4S)的时钟信号;节拍控制器产生三个节拍循环的控制信号;节拍程序执行器完成在每个节拍下的系统动作,即数据的左移、右移和送数功能,可以使用双向通用移位寄存器74LS194完成;显示电路完成系统循环演示的指示,可以用发光二极管模拟。 四、具体成果形式和要求 具体成果:四路彩灯显示电路系统和设计文档;

其要求:设计思路清晰,给出整体设计框图;设计个单元电路,给出具体设计思路、电路器件。 进度安排 起止日期工作内容 12.1-12.10 选题,组员分工,明确任务,查找相关资料。 12.11-12.20 绘制电路原理图。 12.21-12.30 运行、调试。 12.31-1.3 编写课程设计报告、答辩。 主要参考资料[1]康华光主编.电子技术基础(数字部分)第五版.高等教育出版社。 [2]康华光主编.电子技术基础(模拟部分)第五版.高等教育出版社。 [3]蔡惟铮《数字电子线路基础》[M]哈尔滨,哈尔滨工业大学出版社。 [4]吕思忠《数字电路实验与课程设计》哈尔滨工程大学出版社。 [5]谢自美《电子线路设计》华中理工大学出版社。 指导教师 意见 (签字):×年×月×日 系(教研室) 主任意见 (签字):×年×月×日

数字逻辑课程设计-数字时钟

数字逻辑课程设计实验报告 题目数字钟 姓名桂大有 班级网络工程103班 学号109074360 指导教师陆勤 完成日期2012年5月21日

数字钟的设计 1.数字钟的功能描述 (1)计时和显示功能 采用24小时计时并以十进制数字显示时、分、秒(时从00-23,分、秒从00-59)。 (2)校对动能 当数字时钟走的有偏差时,应能够手动校时。 2.数字钟的设计思路 根据功能要求,整个数字时钟分为计时和校时两大部分。 计时部分秒计时电路接收1Hz时基信号,进行60进制计数,计满后秒值归0,并产生1/60Hz时钟信号;分钟计时电路接受1/60Hz时钟信号,进行60进制计数,计满后分钟值归0,并产生1/3600Hz时钟信号,小时计时电路接收1/3600Hz时钟信号,进行24小时计数,计满后小时、分、秒皆归0,如此循环往复。 校时部分,采用两个瞬态按键配合实现,1号键产生单脉冲,控制数字钟在计时/校时/校分/校秒四种状态间切换,2号键通过控制计数使能端让时/分/秒计数器发生状态翻转以达到指定的数值。 3.系统功能模块介绍 Ⅰ.模块一:数字钟总体原理电路。 其中包含:(1)分钟、秒计时电路(2)小时计时电路(3)计时/校时的切换

Ⅱ.采用原理图和HDL混合设计方式实现数字钟 ①分钟、秒计时电路 分钟、秒计时需要60进制计数,其电路图如下所示: 该电路图用两片74160采用同步连接构成60进制计数器,通过译码电路识别稳态“59”,输出低电平使计数器置数为0。整个技术循环为00—>01—>02—>…—>58—>59—>00—>…,共有60个稳定状态。计数值采用BCD码形式,Q7~Q4表示分钟或秒的十位,Q3~Q0表示分钟或秒的各位。EN输入端当正常计数状态时接收分钟计时电路的进位输出,,而在校时状态时接收校时脉冲用于控制小时值的翻转。计满进位输出端CO用于触发高一级计数器的技术动作。 ②小时计时电路(采用24时制,电路图如下所示)

数字逻辑数字频率计的设计课程设计报告

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:数字频率计的设计 系别:网络与通信工程系 专业:网络工程(无线传感器网络方向)组别:第七组 起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺 计算机与信息工程学院二○一二年制

课程设计任务书

目录 1绪论 (1) 1.1设计背景 (1) 1.2主要工作和方法 (1) 1.3本文结构 (1) 2相关知识 (1) 2.1数字频率计概念...................................................................................................................... .. (1) 2.2数字频率计组成 (1) 3系统设计 (2) 4系统实现 (2) 4.1计数译码显示电路 (2) 4.2控制电路 (3) 5系统测试与数据分析 (5) 6课程设计总结与体会 (8) 6.1设计总结 (8) 6.2设计体会 (8) 结束语 (9) 参考文献 (9) 附录 (10) 致谢 (12)

1绪论 1.1设计背景 数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。 随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。 1.2主要工作和方法 设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。 1.3本文结构 本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。 2相关知识 2.1数字频率计介绍 2.1.1数字频率计概念 数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。 2.1.2数字频率计组成 数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

数字逻辑电路课程设计数字钟

数字逻辑课程设计 数字钟 姓名: 学号: 班级:物联网工程131班 学院:计算机学院 2015年10月10日

一、任务与要求 设计任务:设计一个具有整点报时功能的数字钟 要求: 1、显示时、分、秒的十进制数字显示,采用24小时制。 2、校时功能。 3、整点报时。 功能: 1、计时功能: 要求准确计时,以数字形式显示时、分、秒的时间。小时的计时要求为“12翻1”。 2、校时功能: 当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种。“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。 3、整点报时: 每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。 二、设计方案 电路组成框图: 主体电路 扩 展 电 路时显示器 时译码器 时计数器 分显示器 分译码器 分计数器 校时电路 秒显示器 秒译码器 秒计数器 定时控制 仿电台报时 报整点时数

数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时和报时。利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。秒、分、时之间采用同步级联的方式。开关S1和S2分别是控制分和时的校时。报时功能在此简化为小灯的闪烁,分别在59分51秒、53秒、55秒、57秒及59秒时闪烁,持续的时间为1秒。 三、设计和实现过程 1.各元件功能 74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。 74LS00:二输入端四与非门 74LS04:六反相器 74LS08:二输入端四与门 74LS20:四输入端双与非门 2.各部分电路的设计过程 (1)时分秒计数器的设计 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP和ENT引脚是由秒钟显示电路的进位信号控制的。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。可选两片74LS160设计较为简单。 时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。可选两片74LS160设计。

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班 学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。

学会撰写综合实验总结报告。 通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下 1同步十进制计数器74LS162 3输入正与非门74LS00

安徽工业大学数字逻辑课程设计报告书

数字逻辑课程设计 :振华 班级:网124 学号:129074422 一、设计任务要求 数字时钟是由振荡器、分频器、计秒电路、计分电路、计时电路组成。计时采

用24h和12h两种。当接通电源或数字钟走时出现误差,都需要对数字钟作时、分、秒时间校正。本次设计的具体要求如下: 1、显示时、分、秒的十进制显示,采用24小时制。 2、校时功能。 3、整点报时。 二、设计思路 1、数字钟的组成原理图 数字式电子钟实际上是一个对标准1Hz 进行计数的计数电路! 秒计数器满60 后向分计数器进位,,分计数器满60 后向时计数器进位, 时计数器按24翻1 规律计数, 计数输出经译码器送LED 显示器,由于计数的起始时间不可能与标准时间一致,故需要在电路上加上一个校时电路。同时标准的1Hz时间信号必须做到准确、稳定,通常使用石英晶体振荡器电路构成,如下图所示为数字式电子钟的构成原理框图。 时显示器时译码器分显示器秒显示器分译码器 时计数器 秒译码器 时计数器时计数器 校时电路 振荡器分频器

2、数字钟设计方案 为完成上述功能,可以把数字钟系统划分为三部分:时针源(即标准秒钟的产生电路)主体电路,扩展电路。主体电路EDA 设计又可划分为计时电路、校时电路、译码显示电路3部分。 3、底层电路设计 时针源——晶体振荡器电路给数字式电子钟提供一个频率稳定、准确的32768Hz的方波信号,将32768Hz的高频方波信号经32768次分频后得到1Hz 的方波信号供秒计数器进行计数,实现该分频功能的计数器相当于15 级二进制计数器。 计时电路——时间计数器电路由秒个位、秒十位计数器,分个位、分十位计数及时个位、时十位计数电路构成。其中,秒个位和秒十位计数器,分个位和分十位计数为六十进制计数器,而根据设计要求时个位和时十位构成的为二十四进制计数器,时间计数单元共有:时计数,分计数和秒计数3部分,根据设计要求时计数单元为一个二十四进制计数器,共输出为两位8421BCD码形式;分计数和秒计数单元为六十进制计数器!,共输出也为两位8421BCD码。图1和图2 分别给出了60进制计数器和24进制逻辑图。 图一、60进制计数器

第1章 数字逻辑基础

第1 章数字逻辑基础 部分习题解答 1.3 将下列十进制数转换成等值的二进制数、八进制数、十六进制数。要求二进制数保留小数点后4位有效数字。 (1)(19)D ;(2)(37.656)D ;(3)(0.3569)D 解: (19)D=(10011)B=(23)O=(13)H (37.656)D=(100101.1010)B=(45.5176)O=(25.A7E)H (0.3569)D=(0.01011)B=(0.266)O=(0.5B)H 1.4 将下列八进制数转换成等值的二进制数。 (1)(137)O ;(2)(36.452)O ;(3)(0.1436)O 解: (137)O=(1 011 111)B (36.452)O=(11110. 10010101)B (0.1436)O=(0.001 100 011 11)B 1.5 将下列十六进制数转换成等值的二进制数。 (1)(1E7.2C)H ;(2)(36A.45D)H ;(3)(0.B4F6)H 解: (1E7.2C)H=(1 1110 0111.0010 11)B (36A.45D)H=(11 0110 1010. 0100 0101 1101)B (0.B4F6)H=(0.1011 0100 1111 011)B 1.6 求下列BCD码代表的十进制数。 (1)(1000011000110101.10010111)8421BCD ; (2)(1011011011000101.10010111)余3 BCD ; (3)(1110110101000011.11011011)2421BCD; (4)(1010101110001011.10010011)5421BCD ; 解: (1000 0110 0011 0101.1001 0111)8421BCD=(8635.97)D (1011 0110 1100 0101.1001 0111)余3 BCD =(839.24)D (1110 1101 0100 0011.1101 1011)2421BCD=(8743.75)D (1010 1011 1000 1011.1001 0011)5421BCD=(7858.63)D 1.7 试完成下列代码转换。 (1)(1110110101000011.11011011)2421BCD = (?)余3 BCD (2)(1010101110001011.10010011)5421BCD= (?)8421BCD

电子科技大学 数字逻辑课程设计——流水灯的实现

数字逻辑课程设计 ——流水灯的设计 1问题概述: 设计一个可以循环移动的流水灯,灯总数为8盏,具体要求如下: 1、5亮,其余灭,右移三次后全灭 4、8亮,其余灭,左移三次后全灭 4、5亮,其余灭,各向两边移三次后全灭 1、8亮,其余灭,各向中间移三次后全灭 所要求的彩灯电路在某电路板上完成,该电路板能够提供48MHz标准时钟信号,附带有8个共阳的LED管可作为彩灯使用。 2问题分析 本装置可以看作一个具有20个状态的无输入、8个输出的Moore型时钟同步状态机,每一个状态对应依次出现的每一种亮灯情况,用5位状态编码表示。这里构造一个模20的计数器来循环产生这20种状态。同时对于输入的48MHz的标准时钟信号,需要将其转化为1HZ的信号,此处同样用计数器来实现分频功能。8个输出分别控制LED的发光情况。这里使用5-32的译码器实现输出函数的构造。电路框图如下: 这里使用一个5位的状态编码Q4Q3Q2Q1Q0,表示20个状态。8位的输出函数F7F6F5F4F3F2F1F0分别表示由左至右每一个灯的通断情况。由于本题中LED灯采用共阳极连接方式,所以当Fn为低电平时,对应的LED灯发光。 本电路状态图如下:

本电路的转移/输出表如下: 现针对每一部分设计具体电路 3设计方案 3.11/48MHz分频电路 对于48MHz的信号,一秒钟内有4.8*10^7个周期,而所需1Hz信号,每秒只有一个周期。使输入信号每经过2.4*10^7个周期,输出信号翻转一次方向,便可获得所需的1Hz信号。可以构造一个模4.8*10^7的计数器用于计数,并使计数器输出的最高位在一秒之内恰好变化一次,且占空比为50%,故采用7片74x163进行级联。计数范围为:0110100100011100101000000000-1001011011100011010111111111。这样恰好可以保证最高

数字逻辑课程设计

数字逻辑课程设计 一、课程设计目的 《数字逻辑课程设计》是计算机大类学生的必修课之一,是《数字逻辑》课程的一个重要的实践教学环节,它与理论教学和实验教学相结合,培养学生综合运用所学的基础理论和掌握的基本技能来解决实际问题的能力。 课程设计通过完成一个课题的理论设计和实际调试工作,即能加深对所学知识的理解,又能培养综合的实践技能,从而提高分析问题和解决问题的能力。训练学生综合运用学过的数字逻辑的基本知识,独立设计比较复杂的数字电路的能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 二、课程设计要求 (一)教学要求 1.巩固和加深对数字逻辑各类型电路的设计方法及电子器件所构成电路的理解,并适当拓宽学生在电子线路领域的知识面。 2.初步掌握数字电路的设计、计算方法。能根据系统的技术指标,论证、拟订设计方案;选用合适的电路形式并进行工程计算及选择电路的元器件。 3.培养独立组织实验方案、正确选择使用实验仪器的能力,提高对功能电路和系统的安装调整、测试技术,以及综合运用所学理论知识解决实际问题的能力。(二)能力培养要求 1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 2.通过实际电路方案的比较分析、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 4.综合应用课程中学到的理论知识去独立完成一个设计任务。 5.培养严肃认真的工作作风和严谨的科学态度。

三、课程设计内容 从参考题目中自选1题进行资料查找和设计,具体课题及要求见附件1。 1.数码管显示控制器 2.乒乓球游戏机 3.智力竞赛抢答器 4.数字钟 5.交通灯控制器 四、设计报告的内容和要求 报告的内容包括以下几个方面: 1、课程设计题目:自选题目名称 2、设计任务及主要性能指标和要求 3、电路的设计 (1)根据功能要求构建总体设计方案,比较和选定设计的系统方案,确定整个电路的组成及各单元电路完成的功能,画出系统框图。 (2)单元电路的设计、参数计算、器件选择及介绍。 (3)画出完整的总体电路设计图(器件型号、元件参数应标出)和必要的波形图,并说明电路的工作原理。 4、整理测试数据,分析是否满足设计要求。 5、在安装调试中的方法技巧,遇到的问题、原因及解决方法。 6、元器件清单。 7、总结设计电路的特点和方案的优缺点。 8、设计收获体会 9、参考文献。 设计实验部分根据实际完成的实验内容,按照上面自选题目包括的各部分内容进行编写。 报告要求根据课程设计报告的内容要求认真书写,所有的内容一律用课程设计报告书手写,总页数不得少于15页。

简易售货机的设计 数字逻辑课程设计报告

石家庄经济学院华信学院 数字逻辑课程设计报告 题目简易售货机的设计 姓名 学号 班号 指导老师 成绩

目录 1. 课程设计目的··································································································································· 2. 开发工具选择··································································································································· 3. 设计方案 ·········································································································································4.模块描述 ·········································································································································· 5. VHDL实现······································································································································· 6. 调试仿真 ············································································································································· 7. 课程设计回顾总结·························································································································参考文献 ··········································································································································附录························································································································································· 1课程设计目的

021003[数字逻辑]课案

数字逻辑 请在以下五组题目中任选一组作答,满分100分。 第一组 一、论述题(20分) 1. 74LS138芯片构成的数据分配器电路和脉冲分配器电路如下图所示。 (1) 图(a)电路中,数据从G 1端输入,分配器的输出端得到的是什么信号。 (2) 图(b)电路中,G 2A 端加脉冲,芯片的输出端应得到什么信号。 (a ) (b) 二、计算题(共50分) 1. 试用74LS151数据选择器实现逻辑函数。(30分) 1)∑= )7,4,2,1(C)B ,(A,F 1m 2)∑=)14,13,12,11,9,7,6,5,1(D)C,B ,(A,F 2m 。 3)∑∑+= )15,14,13,12,11,10()9,8,7,6,5,3,2,0(D)C,B ,(A,F 3d m 。 2. 8选1数据选择器74LS151芯片构成如下图所示电路。图中G 为使能端,G=0时,芯片正常工作;G=1时,Y=0(W=1)。分析电路功能,写出电路输出函数F 的表达式。(20分) 三、分析题(30分) 1. 试用中规模器件设计一并行数据监测器,当输入4位二进制码中,有奇数个1时,输出

F 1为1;当输入的这4位二进码是8421BCD 码时,F 2为1,其余情况F 1、F 2均为0。 第二组 一、论述题(20分) 1. 四位超前进位全加器74LS283组成如下图所示电路,分析电路,说明在下述情况下电路输出CO 和S 3S 2S 1S 0的状态。 (1)K=0 A 3A 2A 1A 0=0101 B 3B 2B 1B 0=1001 (2)K=0 A 3A 2A 1A 0=0111 B 3B 2B 1B 0=1101 (3)K=1 A 3A 2A 1A 0=1011 B 3B 2B 1B 0=0110 (4)K=1 A 3A 2A 1A 0=0101 B 3B 2B 1B 0=1110 二、分析题(共50分) 1. 试将74LS85接成一个五位二进制数比较器。(20分) 2. 试用74LS 185实现六位二进制数到BCD 码的码组转换电路。(30分) 三、计算题(30分) 1. 设每个门的平均传输延迟时间t pd =20ns ,试画出下图所示电路中A 、B 、C 、D 及v O 各点的波形图,并注明时间参数,设v I 为宽度足够的矩形脉冲. 第三组 一、论述题(20分) 1. TTL 或非门组成的电路如下图所示。 (1)分析电路在什么时刻可能出现冒险现象? 3 3 2 2 1 1 00D v I 1 v O & ο 1 ο ο ο 1 1 & ο A B C

数字逻辑课程设计

《数字逻辑课程设计》 实验报告书 专业班级计算机科学与技术074班 学号 姓名 指导教师徐国雄 安徽工业大学计算机学院

数字逻辑课程设计之数字钟 一.设计目的 1.掌握各类计数器及将它们相连的方法; 2.掌握多个数码管动态显示的原理与方法; 3.掌握用FPGA技术的层次化设计方法; 4.进一步掌握用VHDL硬件描述语言的设计思想; 5.了解有关数字系统的设计。 二.设计要求 1、显示时、分、秒的十进制数字显示,采用24小时制; 2、校时功能; 三.选用器材 EDA-V试验箱 四.原理分析和电路设计 1.时钟脉冲 时钟脉冲有EDA-V试验箱上的时钟脉冲提供,分别对计时电路和扫描 LED电路提供时钟脉冲信号。 2.计数器 计数器采用4位二进制同步加法计数器74LS161,如下图所示。分别对秒 钟、分钟和时钟进行控制。当74161的两个使能端输入为高电平,同时 LDN和CLRN端为高电平时,输入时钟脉冲时,计数器会在时钟脉冲上升沿的作用下进行循环加一运算,正好符合电子钟在正常计时时的工作特点;当CLRN端为低电平时,无需时钟脉冲的作用,计数器的输出将自动变为0,这就是所谓的异步清零,这个功能可以提供我们当秒钟的后半部分到达10需要返回到0时,将其置为0的功能;当CLRN端为高电平,LDN端为低电平时,在时钟脉冲的上升沿的推动下,计数器的输出将直接由输入决定,这可以满足我们设置时间的要求。 3.译码显示电路 译码显示采用自己定义的两个用AHDL语言描述的自定义元件半字节分离器和扫描显示电路驱动DELED 。 图像分别如下: 描述文件如下: Half_byte:

SUBDESIGN half_byte ( din[23..0]:input; s[2..0]:input; dout[3..0]:output; ) begin if s[2..0]==0 then dout[3..0]=din[3..0]; end if; if s[2..0]==1 then dout[3..0]=din[7..4]; end if; if s[2..0]==2 then dout[3..0]=din[11..8]; end if; if s[2..0]==3 then dout[3..0]=din[15..12]; end if; if s[2..0]==4 then dout[3..0]=din[19..16]; end if; if s[2..0]==5 then dout[3..0]=din[23..20]; end if; end; DELED: SUBDESIGN deled ( num[3..0]:INPUT; a,b,c,d,e,f,g:OUTPUT; ) BEGIN TABLE num[3..0]=>a,b,c,d,e,f,g; H"0" =>1,1,1,1,1,1,0; H"1" =>0,1,1,0,0,0,0; H"2" =>1,1,0,1,1,0,1; H"3" =>1,1,1,1,0,0,1; H"4" =>0,1,1,0,0,1,1; H"5" =>1,0,1,1,0,1,1; H"6" =>1,0,1,1,1,1,1; H"7" =>1,1,1,0,0,0,0; H"8" =>1,1,1,1,1,1,1; H"9" =>1,1,1,1,0,1,1; H"A" =>0,0,1,1,1,1,1; H"B" =>0,0,1,1,1,1,1; H"C" =>1,0,0,1,1,1,0; H"D" =>0,1,1,1,1,0,1; H"E" =>1,0,0,1,1,1,1; H"F" =>1,0,0,0,1,1,1; END TABLE; END; 半字节分离器的SEL[0..24]端输入的分别是时分秒的8421码的输入端口,SE[0..2]端输入的是从一个74161输入的选择信号,依次扫描六个LED灯。 2

相关文档
相关文档 最新文档