文档库 最新最全的文档下载
当前位置:文档库 › 60秒秒表(精确到0.1S)

60秒秒表(精确到0.1S)

60秒秒表(精确到0.1S)
60秒秒表(精确到0.1S)

本文是一个60秒秒表计时程序(精到到0,1s),开始键、暂停键和复位键为独立式键盘,采用数码管动态扫描显示方法(共阴极数码管,阴极经非门后连接位码接口)。

org 0

ljmp main

org 0bh

ljmp int

main:mov p1,#3fh ;p1口接段码

mov p2,#1 ;p2口接位码

call delay1

mov p1,#0bfh

mov p2,#2

call delay1

mov p1,#3fh

mov p2,#4

call delay1 ;初始值为00.0

jnb p3.0,start ;秒表计时开始按键

ljmp main

start:mov r0,#0 ;0.0~9.9计数初值

mov r3,#0 ;中断次数计数初值

mov r4,#0 ;十位计数初值

mov dptr,#tab ;附表头地址

mov tmod,#01h ;定时器0工作方式1

mov th0,#0fch ;设置1ms定时初值

mov tl0,#18h

setb ea ;cpu开中断

setb et0 ;开定时器0中断

setb tr0 ;定时器开始计时

loop2:mov a,r3

cjne a,#100,loop ;判断是否到100ms

inc r0 ;到100ms计数值加1

mov r3,#0 ;中断计数初值清0

loop:mov a,r0

cjne a,#100,loop1 ;判断计数值是否到100

mov r0,#0 ;到100则清0

inc r4 ;十位计数值加1

mov a,r4

cjne a,#6,loop1 ;判断十位计数值是否为6

mov r4,#0 ;到6则清0

loop1:jnb p3.1,loop3 ;秒表暂定按键

mov a,r0

mov b,#10

div ab ;将计数值拆成个位和小数

mov r1,a ;个位存入r1

mov r2,b ;小数存入r2

mov a,r1

movc a,@a+dptr ;个位数查表

orl a,#80h ;显示小数点

mov p1,a ;段码输出

mov p2,#2 ;位码输出

call delay

mov a,r2

movc a,@a+dptr

mov p1,a

mov p2,#1 ;小数位输出

call delay

mov a,r4

movc a,@a+dptr

mov p1,a

mov p2,#4 ;十位输出

call delay

ljmp loop2

loop3:jnb p3.2,main ;秒表复位按键mov a,r0

mov b,#10

div ab

mov r1,a

mov r2,b

mov a,r1

movc a,@a+dptr

orl a,#80h

mov p1,a

mov p2,#2

call delay1

mov a,r2

movc a,@a+dptr

mov p1,a

mov p2,#1

call delay1

mov a,r4

movc a,@a+dptr

mov p1,a

mov p2,#4

call delay1

ljmp loop3

int: clr ea ;中断程序

push psw

mov th0,#0fch

mov tl0,#18h

inc r3

pop psw

setb ea

reti

delay:mov r7,#100

djnz r7,$

ret

delay1:mov r6,#0

djnz r6,$

ret

tab:db 3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh end

实验二60秒倒计时电路设计的实验报告

实验二60秒倒计时电路设计的实验报告 一、实验目的 1.进一步熟悉Quartus II混合层次化设计方法。 2.学习7段数码管的驱动设计方法。 二、实验内容 60秒倒计时电路如图1所示。其中,模块cnt_d60完成60倒计数,输出结果为2位十进制BCD码。模块SCNA_LED完成BCD码到7段数码管显示译码功能。 图1 60秒倒计时电路 图2 60秒倒计时底层电路 60倒计数模块cnt_d60底层电路如图2所示。主要由2片74192(双向十进制计数器)

构成。 模块cnt_d60和SCNA_LED的源设计文档(cnt_d60.bdf和SCAN_LED.vhd)提供给大家。要求大家建立新工程,为模块cnt_d60和SCNA_LED新建封装(*.bsf),并根据图1完成顶层60秒倒计时电路设计。 完成以上程序设计,编译时器件选择Cyclone系列的EP1C12Q240C8。引脚锁定参考表1内容。注意:应把未分配管脚置为三态输入,切记!! 表1 实验连线 1.原理图设计输入 (1)首先将模块cnt_d60和SCNA_LED的源文件放在等一下需要建立的文件中,打开QuartusII软件。 (2)选择路径。选择File/New Project Wizard。添写后以后,单击“NEXT”进入下一步。(3)添加设计文件,在File name中选择路径然后添加模块cnt_d60和SCNA_LED的源文件,点击“Next”。 (4)选择FPGA器件。Family选择Cyclone,先在Packge选择Any QFP,Pin Count 选择240,Speed grade选择8;然后在Available device中选择EP1C12Q240C8,点击“Next”。 (5)选择外部综合器、仿真器和时序分析器。设置好后,单击“NEXT”进入下一步。(6)结束设置。“工程设置统计”窗口,列出了工程的相关设置情况。最后单击“Finish”,结束工程设置。 (7)建立原理图文件。点击cnt_d60文件,然后点击File/Crete/Update/Create Symbol Files For Current file以新建原理图封装文件方式,然后以同样的方式创建原理图SCNA_LED封装文件,文件格式都为*bdf。保存原理图文件。选择File/Save As…菜单,存为testone文件,选择Edit/Insert Symbol…(或直接双击原理图空白处)打开元器件库窗口,选择合理的器件(封装好的cnt_d60文件和SCNA_LED文件都在里面)按图1完成60秒倒计时电路原理图设计,完成后选择File/Save…保存原理图。(8)综合编译。编译之前,打开原理图文件,选择Project/Set as Top-Level Entity,以确保当前编译的文件为顶层的实体文件。然后选择Processing/Start Compilation,进行综合分析,直至编译通过为止。 (9)保护设计中没有使用到的引脚。对于FPGA芯片(包括EP1C12Q240C8),在做Quartus II工程时必须将未分配的管脚置为三态输入。选择Assignments\Device… 打开工程设置窗口。在Category中选择Device项,然后在Available Devices栏中,选中EP1C12Q240器件,再单击Device & Pin Options…按钮,在弹出窗口(中选择Unused Pins栏,然后设置Reserve all unused pins为AS input tri-stated。推荐把未分配管脚置为三态输入。如未将未分配管脚置为三态输入,将可能导致主芯片或外围芯片损坏,切记!!

单片机 10秒秒表课程设计

赣南师范学院物理与电子信息学院 课程设计Ⅳ设计报告书 基于AT89S52单片机的 10秒秒表的设计 姓名:匡远熹 班级:09电子信息工程 学号:090802015 指导老师:刘小燕 时间:2012.1.01

目录 内容摘要 (1) 关键词................................................................. 错误!未定义书签。Abstract............................................................. 错误!未定义书签。Keywords............................................................. 错误!未定义书签。1绪论. (2) 2 系统设计 (2) 2.1 设计任务与要求 (3) 2.2 方案的选择与论证 (3) 3 系统硬件设计 (4) 3.1 AT89C52简介 (4) 3.2 时钟电路 (5) 3.3 复位电路 (5) 3.4 显示电路 (6) 3.5引脚控制 7 3.6 硬件元件清单 (7) 4软件设计与仿真 (7) 4.1主程序设计 (7) 4.2 仿真软件简介 (9) 4.3 仿真结果 (10) 4.4 系统调试 (11) 结束语 (12) 附录:程序清单 (14) 参考文献 (16)

内容摘要:本文阐述了基于单片机的数字电子秒表设计。本设计主要特点是计时精度达到0.1s,是各种体育竞赛的必备设备之一。本设计的数字电子秒表系统采用8051单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、LED数码管设计计时器。其中软件系统采用C语言编写程序,包括显示程序,定时中断服务,延时程。硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 关键字:秒表;单片机AT89S52;硬件;软件;仿真 Abstract:The design of the multi-function stopwatch system uses AT89S52 microcontroller as the central device, and use its timer / counter timing and the count principles, combined with display circuit, LED digital tube, as well as the external interrupt circuit to design a timer. Be able to correctly time at the same time to record a time, and the next time after the last time the time to search automatically added a second in which software systems using assembly language programming, including the display program, timing, interrupt service, external interrupt service routine, delay procedures, key consumer shaking procedures, and KEIL in the commissioning, operation, hardware system uses to achieve PROTEUS powerful, simple and easy to observe the cut in the simulation can be observed on the actual working condition. Keyword:Stopwatch;AT89S52 scm;Hardware;Software;Simulation

如何在ppt里插入一个秒表计时器

如何在P P T里插入一个秒表计时器? 【方法一】可以插入一个计时器模型,再在计时器表面插入一个文本框,然后在文本框中输入字,并设置置动画,具体数字的设置方法如下: 你可以设置数字的自定义动画: 1、先在文本框中输入10——右键——自定义动画,退出:消失——在出现的动画设置(右边)中选择:开始于鼠标单击时——点出下拉菜单选择计时:延迟1秒——也就是说在你的鼠标单击时开始倒计时。 2、再插入一个文本框,输入9——右键——自定义动画——出现:溶解——在出现的动画设置(右边)中选择:开始于上一项之后(就是一个小钟面之后)——点出下拉菜单选择计时:速度/快速(1秒)——再点出效果——动画播完后/播完动画后隐藏。 3、复制数字9,把复制的内容改为8,再复制8,把复制的内容改为7;如此循环下去只到0就行。注意:一定要先复制,再把复制的数字改为下一个数字,顺序不能错,因为这个过程也就是数字的动画出现的顺序。 【方法二】用PPT来做,超链接我就不讲了,进入正题: 用绘图栏里的工具画一个箭头,加自定义动画“强 调”-“陀螺旋”,在“计时”里把“速度”设成60秒,在“重复”里选“直到下一次单击”,然后点击一下试试,明白了吧。其余就是做个有刻度的表盘,画几个同心圆,加点文字就行。

【方法三】利用Active X控件插入动画 ---选择“视图”->“工具栏”->“控件工具箱” ---点击“其它工具图标”,从下拉列表中选择“ShockWave Flash Object”选项,鼠标变成“+”形状,将其拖动即出现Flash控件图形。 ---单击“控件工具箱”上的“属性”按钮,打开属性对话框。 ---选择“自定义”,单击“浏览”按钮,在弹出的对话框中的“Movie URL”中输入. swf文件路径及名称,并调整“play”,“quality”,“loop”等其它属性。 【方法四】插入动态文本:txtContent 场景第一帧加入下列代码: function getTime() { beginTime = new Date(); dateDiff = (()() ) / 1000); if (dateDiff >= 0) { d = (dateDiff / 60 / 60 / 24); dateDiff = dateDiff % 86400; h = (dateDiff / 60 / 60); dateDiff = dateDiff % 3600; m = (dateDiff / 60);

电子秒表课程设计

电子秒表课程设计报告 目录 一、设计要求 (2) 二、设计的目的与作用 (2) 三、设计的具体体现 (2) 1. 电子秒表的基本组成 (3) 2.电子秒表的工作原理 (3) 3.电子秒表的原理图 (4) 4. 单元电路设计 (4) 5.设计仿真与PCB制版 (12) 四、心得体会 (17) 五、附录 (18) 六、参考文献 (20)

一、设计要求 1.以0.01秒为最小单位进行显示。 2.秒表可显示0.01~59:59:99秒的量程。 3.该秒表具有清零、开始计时、停止计时功能。 二、设计方案 方案一:通过单片机来实现电子秒表 基于51单片机电子秒表,设计简单,而且技术准确,缺点是价格相比于数字电路实现的秒表技术要昂贵。 方案二:采用数字电路来实现秒表计数,优点是价格便宜,计数精确,反应较快,缺点是,电路芯片较多,设计电路复杂。 经过比较选择了较为经济适用的数字电路。 二、设计的目的与作用 1.培养我们运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计和研究成果。 2. 熟悉555方波振荡器的应用。 3.熟悉计数器的级联及计数、译码、显示电路的整体配合。

4.建立分频的基本概念。 三、设计的具体体现 1.电子秒表的基本组成 电子秒表电路的基本组成框图如图所示,它主要由基本RS 触发器、多谐振荡器、计数器和数码显示器4个部分组成。 电子秒表电路的基本组成(方框图)如下: 图(1)电子秒表基本组成方框图 2.电子秒表的工作原理 由555定时器构成多谐振荡器,用来产生50Hz 的矩形波。第Ⅰ块计数器作5分频使用,将555输来的50Hz 的脉冲变为0.1秒的计数脉冲,在输出端Qd 取得,作为第2块计数器的始终输入,第2、第3块计数器QA 与CP2相连,都已接成8421码十进 基本RS 触发器 多谐振荡器 单稳态触发器 计数器 译码显示器

软件延时实现60秒计时器

一、实验任务 如下图所示,在A T89S51单片机的P0和P2端口分别接有两个静态共阴数码管,P0口驱动显示秒时间的十位,而P2口驱动显示秒时间的个位。 二、电路原理图 图11.1 三、硬件连线 参照教程十的方法完成硬件连线(只是去掉按键部分)。 四、程序设计内容 1在设计过程中我们用一个存储单元作为秒计数单元,当一秒钟到来时,就让秒计数单元加1,当秒计数达到60时,就自动返回到0,从新秒计数。 2对于秒计数单元中的数据要把它十位数和个数分开,方法仍采用对10整除和对10求余。 3在数码上显示,仍通过查表的方式完成。 4一秒时间的产生在这里我们采用软件精确延时的方法来完成,经过精确计算得到1秒时间为1.002秒。 DELY1S: MOV R5,#100 D2: MOV R6,#20 D1: MOV R7,#248 DJNZ R7,$

DJNZ R6,D1 DJNZ R5,D2 RET 五、程序框图 图11.2 六、汇编源程序 Second EQU 30H ORG 0 START: MOV Second,#00H NEXT: MOV A,Second MOV B,#10 DIV AB MOV DPTR,#TABLE MOVC A,@A+DPTR MOV P0,A MOV A,B MOVC A,@A+DPTR MOV P2,A LCALL DELY1S INC Second MOV A,Second CJNE A,#60,NEXT LJMP START

DELY1S: MOV R5,#100 D2: MOV R6,#20 D1: MOV R7,#248 DJNZ R7,$ DJNZ R6,D1 DJNZ R5,D2 RET TABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH END 七、C语言源程序 #include unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66, 0x6d,0x7d,0x07,0x7f,0x6f}; unsigned char Second; void delay1s(void) { unsigned char i,j,k; for(k=100;k>0;k--) for(i=20;i>0;i--) for(j=248;j>0;j--); } void main(void) { Second=0; P0=table[Second/10]; P2=table[Second%10]; while(1) { delay1s(); Second++; if(Second==60) { Second=0; } P0=table[Second/10]; P2=table[Second%10]; } }

单片机简易秒表课程设计..

单片机课程设计 题目:简易秒表 系部:机电工程系 专业:机电一体化 班级: 0 9 4 班 姓名:张三 学号:2009044056 指导教师:杨富强

目录 一摘要 (1) 二绪论 (2) 2.1单片机的发展 (2) 2.2 MCS-51系列单片机介绍 (4) 三设计任务及要求 (5) 四工作原理 (5) 4.1 七段数码管概述 (5) 4.2 MCS--51的引脚及相关功能 (7) 五简易秒表电路图 (8) 六流程图 (9) 七源程序 (10) 八系统硬件设计 (11) 九总结 (12) 十课程设计心得 (13) 参考文献 (14)

一摘要 单片机自70年代问世以来得到蓬勃发展,目前单片机功能正日渐完善:单片机集成越来越多资源,内部存储资源日益丰富,用户不需要扩充资源就可以完成项目开发,不仅是开发简单,产品小巧美观,同时抗干扰能力加强,系统也更加稳定,使得它更加适合工业控制领域,具有更加广阔的市场前景;提供在线编程能力,加速了产品的开发进程,为企业产品上市赢得宝贵时间。此外单片机具有性能高、速度快、体积小、价格低、稳定可靠、应用广泛、通用性强等突出优点。单片机的设计目标主要是增强“控制”能力,满足实时控制的需要。 本文的主要内容是掌握各种单片机的结构、接口、片上外设的特点,并利用自行制作的单片机最小系统,完成一个简单应用(简易秒表)的设计与软件及硬件设计制作,让读者掌握数字单片机最小系统的设计及单片机系统的应用方法。

二绪论 当前社会信息化建设在各地蓬勃发展,作为信息发布的终端显示设备,LE D显示屏己经广泛应用于工作和生活的各个方面,主要用于显示文字、图像、动画等。L E D显示屏的应用涉及社会的许多领域,主要包括:金融证券、体育场馆、道路交通、邮政电信、商场购物中心等服务领域的业务宣传及信息显示。L ED 是发光二极管的简称(L ig ht Em it ti ng D io de)。由于它具有亮度高、响应速度快、低电压、功耗小、耐震动、寿命长等优点,使其成为室内外信息显示终端的主要发光器件。LE D显示屏是20世纪90年代出现的新型平板显示器件,由于其亮度高、画面清晰、色彩鲜艳,使它在公众多媒体显示领域一枝独秀,因此市场空间巨大。LE D显示屏的发展可分为以下几个阶段:第一阶段为1990年到1995年,主要是单色和16级双色图文屏。用于显示文字和简单图片,主要用在车站、金融证券、银行、邮局等公共场所,作为公共信息显示工具。第二阶段是1995年到1999年,出现了64级、256级灰度的双基色视频屏。视频控制技术、图像处理技术、光纤通信技术等的应用将LE D显示屏提升到了一个新的台阶。LE D显示屏控制专用大规模集成电路芯片也在此时由国内企业开发出来并得以应用。第三阶段从1999年开始,红、纯绿、纯蓝LE D大量涌入中国,同时国内企业进行了深入的研发工作,使用红、绿、蓝三原色LE D生产的全彩色显示屏被广泛应用,大量进入体育场馆、会展中心、广场等公共场所,从而将国内的大屏幕带入全彩时代。 2.1单片机的发展 单片机也被称为微控制器(M ic ro co nt r ol le r),是因为它最早被用在工业控制领域。单片机由芯片内仅有CP U的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CP U集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。IN TE L的Z80是最早按照这种思想设计出的处理器,从此以后,单片机和专用处理器的发展便分道扬镳。单片机又称单片微控制器,它不是完成某一个逻辑功能的

如何在ppt里插入一个秒表计时器.doc

如何在PPT里插入一个秒表计时器? 【方法一】可以插入一个计时器模型,再在计时器表面插入一个文本框,然后在文本框中输入字,并设置置动画,具体数字的设置方法如下: 你可以设置数字的自定义动画: 1、先在文本框中输入10——右键——自定义动画,退出:消失——在出现的动画设置(右边)中选择:开始于鼠标单击时——点出下拉菜单选择计时:延迟1秒——也就是说在你的鼠标单击时开始倒计时。 2、再插入一个文本框,输入9——右键——自定义动画——出现:溶解——在出现的动画设置(右边)中选择:开始于上一项之后(就是一个小钟面之后)——点出下拉菜单选择计时:速度/快速(1秒)——再点出效果——动画播完后/播完动画后隐藏。 3、复制数字9,把复制的内容改为8,再复制8,把复制的内容改为7;如此循环下去只到0就行。注意:一定要先复制,再把复制的数字改为下一个数字,顺序不能错,因为这个过程也就是数字的动画出现的顺序。 【方法二】用PPT来做,超链接我就不讲了,进入正题: 用绘图栏里的工具画一个箭头,加自定义动画“强调”-“陀螺旋”,在“计时”里把“速度”设成60秒,在“重复”里选“直到下一次单击”,然后点击一下试试,明白了吧。其余就是做个有刻度的表盘,画几个同心圆,加点文字就行。 【方法三】利用Active X控件插入动画 ---选择“视图”->“工具栏”->“控件工具箱” ---点击“其它工具图标”,从下拉列表中选择“ShockWave Flash Object”选项,鼠标变成“+”形状,将其拖动即出现Flash控件图形。 ---单击“控件工具箱”上的“属性”按钮,打开属性对话框。 ---选择“自定义”,单击“浏览”按钮,在弹出的对话框中的“Movie URL”中输入. swf文件路径及名称,并调整“play”,“quality”,“loop”等其它属性。 【方法四】插入动态文本:txtContent 场景第一帧加入下列代码: function getTime() { beginTime = new Date(); dateDiff = Math.round((beginTime.getTime()-overTime.getTime() ) / 1000); if (dateDiff >= 0) { d = Math.floor(dateDiff / 60 / 60 / 24);

电子秒表课程设计

湖南人文科技学院 课程设计报告 课程名称:电子技术课程设计 设计题目数字电子秒表 系别: 专业: 班级: 学生姓名: 学号: 起止日期: 指导教师: 教研室主任:

摘要 本次设计的数字电子秒表以555定时器为核心,由多谐振荡电路,计数译码显示电路,控制电路三大主要模块构成。由NE555定时器组成的多谐振荡电路通过控制阻值产生10Hz,1Hz的脉冲;输入由74LS192芯片组成的计数电路、74LS48组成的译码电路在数码管FJS5101显示器上输出,以上部分组成计数译码显示电路;通过控制电路实现复位,置数功能,灵活启动停止。电路是采用外接电源来实现的。经过仿真、布线、制板等工作,数字秒表成形。本组在此次设计过程中主要是先分析设计要求,根据提出的设计要求选取合适的芯片,再用multisim 10 画出电路图,进行仿真。再用Prote 2004 Sp2绘制原理图和PCB图,并把PCB图转印到印制板上完成焊接和调试等工作。最终完成数字电子秒表的工作。 关键词:NE555定时器;74LS192计数器;74LS48译码器;控制电路

目录 1、方案论证与对比 (1) 1.1 方案一 (1) 1.2方案二 (2) 1.3方案的对比与选择 (2) 2、数字电子秒表总体方案的分析与设计 (3) 2.1电子秒表电路总图 (3) 2.2控制电路 (4) 2.3 脉冲产生原理 (5) 2.4计数译码显示单元 (7) 2.4.1 计数器 (8) 2.4.2 译码器 (9) 2.4.3 七段显示数码管 (11) 3、调试与检测 (12) 3.1调试方法 (12) 3.2调试故障的原因与排除 (13) 3.3调试结果 (14) 4、总结与致谢 (14) 5、参考文献 (16) 6、附录 (17) 附录一元件清单 (17) 附录二总电路的PCB图 (17) 附录三总电路的仿真图 (18)

60秒加计时电路

课程设计报告____2010/2011 学年第一学期 课程名称:电子工艺实习 题目:60秒加计时电路 院系:计算机与信息学院电子工程系专业班级:电子信息工程081801 学号: 姓名: 指导教师: 完成日期:2010-12-15

目录 目录 (2) 前言 (3) 内容 (3) 一、实验目的 (3) 二、实验要求 (3) 三、实验器件 (3) 四、实验原理 (4) 五、调试及测试结果分析 (5) 六、实验小结或体会 (6) 附图1: (7)

前言 随着信息时代信息的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分,特别是各种竞技运动中,计数器器成为运动员成绩的一个重要工具。 一、实验目的 1.根据原理图分析各单元电路的功能; 2.熟悉电路中所用到的各集成块的管脚及其功能; 3.进行电路的装接,调试,直到电路达到规定的设计要求; 4写出完整,详细的设计报告。 二、实验要求 1、具有显示60秒可加计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、计时器为60秒递增计时器。 三、实验器件

四、实验原理 1、方案总体设计 60秒可加计时器的方案框图如下图所示。它包括秒脉冲发生器、计数器、译码显示电路、控制电路等模块组成。其中计数器和控制电路是系统的主要模块。计数器完成60秒计时功能,而控制电路完成计数器饿直接清零,启动计数、暂停/连续计数,译码显示电路功能。 秒脉冲发生器产生的的信号是电路的时钟脉冲和定时标准,但本设计对信号要求不太高,故电路采用555集成电路构成。 译码显示电路由74LS48和共阴极七段LED显示器组成。 2、计时电路的组成 设计中通过两片74LS192的级联来实现一个60进制的计数器。当低位片从0跳到9时,高位片进位加一,直到实现60秒的计数功能。计数电路的核心是置数部分。因为本设计要求从0到60,所以本设计中预置数置为0000和0000即可,又由于到60要清零,所以在十位输出端加个与非门使其到60则自动预置0从而达到实验要求。 在设计中我们选择的是同步加/减计数器74LS192。它是双时钟同步可逆计数器,是8421BCD码计数,其详细引脚图及功能表如下:

电子秒表课程设计报告

西安郵電學院 控制系统课程设计报告书 系部名称:信息与控制系 学生姓名:XXX 专业名称:测控技术与仪器 班级:测控XXXX 2010年9月13日至 时间: 2010 年9月26日

电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、 设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H -33H 中。其中31H 存放分钟变量,32H 存放秒钟变量,33H 存放10ms 计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD 码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD 码数据的对应段码存放在ROM 表中。显示时,先取出31H -33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过1INT 中断完成,定时溢出中断周期为1ms ,当一处中断后向CPU

电子秒表课程设计

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

00-60秒表说明书

编号: 2 微机综合实践说明书 题目: 学院: 专业: 学生姓名: 学号: 指导教师单位: 指导老师:

目录 一、摘要 二、前言 2.1、设计任务及功能简介 (1) 2.2、设计项目应用及意义 (1) 三、总体方案设计 3.1、方案设计 (1) 3.2、元器件清单 (2) 四、电路原理图设计 4.1、总体电路图 (2) 4.2、复位电路设计 (3) 4.3、晶振输入电路设计 (3) 4.4、液晶显示电路 (4) 4.5、开关电路 (4) 五、系统硬件设计及说明 5.1、硬件总体设计方案 (4) 5.2、并行I/O口P0~P3结构与设计 (5) 5.3、相关硬件说明 (6) 5.4、定时/计数器工作原理 (10) 六、系统软件设计及说明 6.1、总体设计方案 (13) 6.2、程序流程图 (13) 6.3、系统程序 (15) 七、我的工作---Proteus软件仿真 7.1、软件仿真总体步骤 (15) 7.2、在PROTEUS中设计出相应的硬件电路 (16) 7.3、用keil软件生成HEX文件 (16) 7.4、烧录程序仿真 (17) 八、课程设计总结 (18) 九、附录---秒表汇编程序 (19)

一、摘要 随着电子技术的飞速发展,电子技术在相关领域的运用也是越来越广泛,人们对它的认识也相应的增加。常用于各种体育赛事以及各种要求精确时间的领域就要用到秒表计时器,秒表计时器开关的使用方法与传统的计时器相同,也就是按一下开关就开始计时,再按一下就停止,操作很是简单。而复位开关可以在任何情况下使用,即使是正在计时,只要你按下复位键,计时就立即终止而且对秒表的时间清零。这个课程设计就是利用所学到的电子元器件将脉冲源用液晶显示屏显示出来,以达到制作简易秒表的目的。除此之外,此次设计还扩展了很多内容,比如倒计时设定,可以设定时间进行倒计时。此设计可以应用到倒计时控制系统,进行定时控制等。 [关键词] 启/停开关复位按键液晶显示倒计时

基于单片机的00秒-99秒的秒表设计

工程技术学院 课程设计 题目:用单片机AT89C51设计一个2位的LED数码显示作为“秒表”专业:电气工程及其自动化 年级: 2009级 学号: 20091447 20091414 20091444 姓名:付忠林梁宗林李座 指导教师:杨彦鑫 日期: 2012年12月12日 云南农业大学工程技术学院

目录 一、设计题目和要求: (2) 二、设计目的: (3) 三、设计内容: (4) 四、课程设计心得体会 (21) 五、参考文献 (22) 六、课程设计指导教师评审标准及成绩评定 (23) 附件1:秒表原理图(实际接线图) (24) 附件2:仿真图1 (25) 附件3:仿真图2 (26)

一、设计题目和要求: 题目三:秒表 应用AT89C51的定时器设计一个2位的LED数码显示作为“秒表”:显示时间为00~99s,每秒自动加1,设计一个“开始”键,按下“开始”键秒表开始计时。设计一个“复位”键,按下“复位”键后,秒表从0开始计时。 任务安排:李座负责绘制电路原理图;梁宗林负责收集资料及电子版整理;付忠林负责程序和仿真。

二、设计目的: 1.进一步掌握AT89C51单片机的结构和工作原理; 2.掌握单片机的接口技术及外围芯片的工作原理及控制方法; 3.进一步掌握单片机程序编写及程序调试过程,掌握模块化程序设计方法; 4.掌握PROTEUS仿真软件的使用方法; 5.掌握LED数码管原理及使用方法。 6.掌握定时器、外部中断的设置和编程原理。 7.通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。 8.该课程设计通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统,拥有正确的计时、暂停、清零、复位功能,并同时可以用数码管显示。

比赛倒计时设计

河南科技学院机电学院电子课程设计报告 题目:比赛倒计时器设计任务书 专业班级:应用电子技术教育111班 姓名:季传帅 时间:2013.12.9~2013.12.27 指导教师:张伟邵锋完成日期:2013年12月20日

比赛倒计时器设计任务书 1.设计目的与要求 设计一个倒计时牌。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)设计的倒计时牌,能直接显示时间; (2)能同时实现60秒计时,9秒暂停倒计时; (3)60秒计时结束有声音提示,9秒计时结束有灯光提示。 2.设计内容 (1)画出电路原理图; (2)元器件及参数选择; (3)电路仿真; (4)搭接所设计的电路完成设计功能。 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有总结体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录 1引言 (1) 2总体设计方案 (1) 2.1设计思路 (2) 2.2总体设计框图 (2) 3设计原理分析 (2) 3.1单元模块 (3) 3.1.1时钟模块 (3) 3.1.2报警电路模块 (3) 3.1.3倒计数器模块 (4) 3.1.4数码换显示模块 (5) 4总结与体会 (5) 参考文献 (6) 附录1实际电路图 (7) 附录2总体电路图 (8)

比赛倒计时器设计 摘要:本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛60秒计时器。此计时器功能齐全,可以直接置数、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、分频模块以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是以时钟产生,分频触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 关键词:比赛倒计时;控制;计时器;译码显示;555定时器 1引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中倒计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 本设计主要能完成:显示60秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为60秒递减计时其计时间隔为1秒;计时器递减计时到零时,同时发出光电报警信号等,当有触发信号时,实现9秒暂停倒计时。 整个电路的设计借助于Multisim10仿真软件和数字逻辑电路相关理论知识,并在Multisim10下设计和进行仿真,得到了预期的结果。 2总体设计方案 用555时基电路构成的多谐振荡器来产生频率为1Hz的脉冲,即输出周期为1秒的方波,再将该脉冲信号加到由74LS93构即周期为1秒,接着将该信号送到计数器74LS00的CP减计数脉冲端,再通过译码器4511BD把输入的8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,然后在适当的位置设置开关或控制电路即可实现计数器的直接清零,启动用第一种方案和暂停/连续、译码显示电路的显示与灭灯及声音报警等功能,声音报警用蜂鸣器来实现,蜂鸣器发声代表报警,电路图见图2。也可以用555构成的多谐振荡器直接产生频率为1Hz的秒脉冲,由于两

数字秒表课程设计 最大为99小时59分59秒

电子技术课程设计报告 2013年12月

前言 数字式秒表是一种常用的计时工具,以其价格低廉、走时准确、使用方便、功能多而广泛用于体育比赛中,下文介绍了如何利用中小规模集成电路和半导体器件进行数字式秒表的设计。本设计中数字秒表的最大计时是99小时59分9/10秒,也就是说分辨率是0.1秒,最后计数结果用数码管显示,需要实现清零、启动计时、暂停计时、继续计时等功能。当计时停止的时候,由开关给出一个清零信号,使得所有显示管全部清零 在本次实验中由六片74LS160构成两个100进制计数器和一个60进制计数器来实现秒表的计数功能。由于需要比较稳定的信号,我们用555定时器与电阻和电容组成的多谐振荡器或石英晶体多谐振荡器产生100HZ的信号,用六个数码管显示计时,最后在电路中加入了两个控制开关一个控制电路的启动和暂停;另一个控制电路的清零。

目录 题目摘要关键词设计要求 (3) 第一章系统概述 (4) 第二章单元电路与分析 (5) 2.1 秒信号发生器 (5) 2.1.1 选择信号发生器方案 (5) 2.1.2石英晶体多谐振荡器 (7) 2.1.3方案对比与选择 (9) 2.1.4 555构成的多谐振荡器仿真图 (9) 2.2消抖电路及其原理 (10) 2.3分、秒、毫秒计数器电路设计 (10) 2.3.1选择计数器的方案 (10) 2.3.2 74LS160计数器功能的介绍 (11) 2.3.3计数器最终连线图 (12) 2.4译码器 (13) 2.4.1译码器的基本原理 (13) 2.4.2 74LS48显示译码器管脚图 (13) 2.4.374LS48功能介绍 (13) 2.5数码管 (15) 2.5.1七段数码管工作原理 (15) 2.5.2七段数码管内部结构介绍 (16) 2.5.3显示器匹配电路图 (17) 第三章系统综述 (18) 3.1总电路图 (18) 第四章结束语 (19) 4.1课程总结 (19) 4.2故障分析 (19) 参考文献 (20) 元件明细表 (20) 鸣谢 (21) 收获和体 (21) 评语 (23)

电子秒表电路的设计

摘要 在数字测量仪表和各种数字系统中,都需要将数字量直观的显示出来,数字显示电路通常由译码驱动器和显示器等部分组成。数码显示器就是用来显示数字、文字或符号的器件。七段式数字显示器是目前常用的显示方式,它利用不同发光段的组合,可以显示0~9等阿拉伯数字。充分运用芯片74LS90的逻辑功能,用四片74LS90芯片实现秒表示0.1~60秒。利用集成与非门构成的基本RS触发器(低电平直接触发)实现电路的直接置位、复位功能。利用集成与非门构成的微分型单稳态触发器为计数器清零提供输出负脉冲。利用555定时器构成的多谐振荡器为电路提供脉冲源以驱动电路工作。?关键词:基本RS触发器,单稳态触发器,多谐振荡器,译码显示器。 ?1电子秒表简介 电子秒表是一种较先进的电子计时器,目前国产的电子秒表一般都是利用石英振荡器的振荡频率作为时间基准,采用6位液晶数字显示时间。电子秒表的使用功能比机械秒表要多,它不仅能显示分、秒,还能显示时、日、月及星期,并且有1/l00s的功能。 本实验设计的电子秒表电路的基本组成框图如图1-1所示,它主要由基本RS触发器、单稳态触发器、多谐振荡器、计数器和译码显示器5个部分组成。 图1-1 电子秒表电路的基本组成框图

2单元电路设计及相关元器件的功能简介 2.1基本RS触发器 本实验设计电路所选用的基本RS触发器为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。其功能表如表2-1所示。 RSQn Qn+1功能 0 0 0 不用不允许 0 0 0 不用 0 1 00 Qn+1=0,置0 0 1 1 0 1011Qn+1=1,置1 10 0 1 1 1 11Qn+1=Qn,保持 1 1 0 0 表2-1 基本RS触发器 如图2-1所示,它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。切换按钮开关K1(接地),则门1输出Q =1;门2输出Q=0,K1复位后Q、Q状态保持不变。再切换按钮开关K2,则Q由0变为1,门5开启,为计数器启动作好准备;Q由1变为0,送出负脉冲,启动单稳态触发器工作。 基本RS触发器在电子秒表中的职能是启动和停止秒表的工作. 图2-1 基本RS触发器

相关文档