文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术期末复习试卷及答案(四套)

数字电子技术期末复习试卷及答案(四套)

数字电子技术期末复习试卷及答案(四套)
数字电子技术期末复习试卷及答案(四套)

数字电子技术基础试卷(本科)及参考答案试卷一

一、(20分)选择填空。从每个小题的四个选项中选出一个正确答案,并将其编号填入该题后的括号中。

1.十进制数3.625的二进制数和8421BCD码分别为()

A.11.11 和11.001 B.11.101 和0011.011000100101

C.11.01 和11.011000100101 D.11.101 和11.101

2.下列几种说法中错误的是()

A.任何逻辑函数都可以用卡诺图表示。B.逻辑函数的卡诺图是唯一的。

C.同一个卡诺图化简结果可能不是唯一的。D.卡诺图中1的个数和0的个数相同。3.和TTL电路相比,CMOS电路最突出的优点在于()

A.可靠性高B.抗干扰能力强

C.速度快D.功耗低

4.为了把串行输入的数据转换为并行输出的数据,可以使用()

A.寄存器B.移位寄存器

C.计数器D.存储器

5.单稳态触发器的输出脉冲的宽度取决于()

A.触发脉冲的宽度B.触发脉冲的幅度

C.电路本身的电容、电阻的参数D.电源电压的数值

6.为了提高多谐振荡器频率的稳定性,最有效的方法是()

A.提高电容、电阻的精度B.提高电源的稳定度

C.采用石英晶体振荡器C.保持环境温度不变

7.已知时钟脉冲频率为f cp,欲得到频率为0.2f cp的矩形波应采用()

A.五进制计数器B.五位二进制计数器

C.单稳态触发器C.多谐振荡器

8.在图1-8用555定时器组成的施密特触发电路中,它的回差电压等于()

A.5V B.2V

C.4V D.3V

图1-8

二、(12分)已知输入信号A、B、C的波形,试画出图2所示各电路输出(L1、L2、L3)的波形。设触发器的初态为0。

图2

三、(10分)如图3所示,为检测水箱的液位,在A 、B 、C 、三个地方安置了三个水位检测元件,当水面低于检测元件时,检测元件输出低电平,水面高于检测元件时,检测元件输出高电平。试用与非门设计一个水位状态显示电路,要求:当水面在A 、B 之间的正常状态时,仅绿灯G 亮;水面在B 、C 间或A 以上的异常状态时,仅黄Y 灯亮;水面在C 以下的危险状态时,仅红灯R 亮。

四、(12

0。

图4

五、(12分)已知某同步时序逻辑电路的时序图如图5所示。

1.列出电路的状态转换真值表,写出每个触发器的驱动方程和状态方程

2.试用D

A

B C 1

A B C

CP

Q Q Q

六、(12分)用移位寄存器74194和逻辑门组成的电路如图6所示。设74194的初始状态Q 3Q 2Q 1Q 0=0001

、Q 、Q 、Q 和L 的波形。

图6

七、(10分)电路如图7所示,图中74HC153为4选1数据选择器。试问当MN 为各种

图7

八、(12分) 由555定时器组成的脉冲电路及参数如图8 a 所示。已知v I 的电压波形如图b 所示。试对应v I 画出图中v O1、v O2的波形;

CP

1

2

3 4 5

6

7 8

v I

v O2

υ

4V

图8

数字电子技术基础试卷(本科)及参考答案

试卷二

一、(18分)选择填空题

1. 用卡诺图法化简函数F (ABCD )=(0,2,3,4,6,11,12)+(8,9,10,13,14,15)得最简与-或

式________。

A.

B.

C.

D.

2. 逻辑函数F 1、F 2、F 3的卡诺图如图1-2所示,他们之间的逻辑关系是 。

A .F 3=F 1?F 2

B .F 3=F 1+F 2

C .F 2=F 1?F 3

D .F 2=F 1+F 3

图1-2

3. 八选一数据选择器74151组成的电路如图1-3所示,则输出函数为( )。

A .

B .

C .

D .

图1-3

4. 图1-4所示电路中,能完成Q n +1

=逻辑功能的电路是( )

∑m

∑d BC B F +=C B D A F ++=C B D F +=A B CD F ++=

B C CA BA L ++=B C A C A B L ++=B C CA A B L ++=CB CA A B L ++=

C

B A

n Q

5. D/A 转换电路如图1-5所示。电路的输出电压υ0等于( )

A. 4.5V

B. -4.5V

C. 4.25V

D. -8.25V

图1-5

6.用1K×4位的DRAM 设计4K×8位的存储器的系统需要的芯片数和地址线的根数是( )

A. 16片,10根

B. 8片,10根

C. 8片,12根

D. 16片,12根

7.某逻辑门的输入端A 、B 和输出端F 的波形图1-7所示,F 与A 、B 的逻辑关系是:

A. 与非;

B. 同或;

C.异或;

D. 或。

图1-7

二、(12分)逻辑电路如图2 a 、b 、c 所示。试对应图d 所示输入波形,分别画出输出端L 1、、L 2 和L 3的波形。(设触发器的初态为0)

(a )

(b)

(c ) (d)

图2

三、(12分)发由全加器FA 、2-4线译码器和门电路组成的逻辑电路如图3 a 所示。试在图b 中填写输出逻辑函数L 的卡诺图(不用化简)。

O

0 0 0 0 0 0 1 0 0 1

A B

F

1

C

A

B 2

3

A B C

(a) (b) 图3

四、(12分)用最少的与非门设计一个组合逻辑电路,实现以下逻辑功能:

时,时;时;时,输出

为任意态。

1.在图4中填写逻辑函数Y 的卡诺图

2.写出逻辑表达式

3.画出逻辑电路

五、(15分)分析如图5所示时序逻辑电路。(设触发器的初态均为0)

1.写出各触发器的时钟方程、驱动方程、状态方程; 2.画出完整的状态图,判断电路是否具能自启动; 3.画出在CP 作用下的Q 0、Q 1及Q 3的波形。

图5

六、(15分)试用正边沿D 触发器设计一个同步时序电路,其状态转换图如图6所示。 1.列出状态表;

2.写出各触发器的激励方程和输出方程; 3.说明电路功能。

b

00

01=X X AB Y =0101=X X B A Y +=1001=X X B A Y ⊕=1101=X X 0

CP

图6

七、(16分)由555定时器、3-8线译码器74HC138和4位二进制加法器74HC161组成的时序信号产生电路如图7所示。

1. 试问555定时器组成的是什么功能电路?计算v o1输出信号的周期;

2. 试问74LVC161组成什么功能电路?列出其状态表;

3. 画出图中v o1、Q 3、Q 2、Q 1、Q 0 及L 的波形。

1k 1k Ω0.1μF R R

试卷二参考答案

一、选择填空

1.C 2.B 3.C 4.B 5.B 6.C 7.B

二、输出端L 1、L 2和L 3的波形如图A 2所示。

图A 2

三、输出逻辑函数L 的卡诺图如图A3所示。

四、1.逻辑函数Y 的卡诺图如图A4所示。

2.

3.电路图略

五、

1.时钟方程:

激励方程:;

状态方程:

b

101000Y X X A AB AX AB X X A AB AX AB

=+++=???0

CP CP CP ==200

1Q CP =1

020==K Q J ,1

111==K J ,1

2012==K Q Q J ,

,,

2.电路的状态图如图A5-2所示。电路具有自启动功能。

图A5-2

3.波形图如图A5-3所示。

图A5-3

六、

1.电路状态表如表A6所示。

2.激励方程: ,

输出方程:

3.电路为可控三进制计数器

七、

1.555定时器组成多谐振荡器。

2.74LVC161组成五进制计数器,电路状态表如表A7所示 3.v o1、Q 3、Q 2、Q 1、Q 0 及L 的波形如图A7组成。

图A7

000210cp Q cp Q Q Q n n

n n +=+1

11111cp Q cp Q Q n n

n +=+2

2221012cp Q cp Q Q Q Q n

n

n n n +=+

Q Q 1Q X

Q Q X Q D n

n

n 0111+=n

n n

n

n Q Q X Q Q X Q D 0

10100++=X

Q Z n

1=μs

2107.0)(7.0221pL pH =++=+=C R C R R t t T L

υo1

Q 3 Q 2 Q 1 Q 0

试卷一参考答案

一、选择填空

1.B;2.D;3.D;4.B;5.C;6.C;7.A;8.B 二、、和的波形如图A2所示。

图A2

三、真值表如表A3所示,各逻辑函数的与非-与非表达式分别为

逻辑图略。

表A3

四、驱动方程:J0=Q2K0=1,J1=1 K1= Q2⊙Q0,J2=1 K2=+ Q0波形图如图A4。

图A4

五、

1.状态转换真值表如表A5所示。

1

L

2

L

3

L

R C Y A BC A BC

==+=?G AB

=

1

Q

表A5

激励方程:D 2=Q 1,D 1=Q 0,

状态方程:,,

状态图如图A5所示。

图A5

电路具自启动能力 2.电路图略。

六、各输出端Q 3、Q 2、Q 1、Q

和L 的波形如图A6所示。

图A6

七、MN =00 8进制计数器,MN =01 9进制计数器, MN =10 14进制计数器,MN =11 15进制计数器。

八、对应v I 画出图中v O1、v O2的波形如图A8所示。

1

20Q Q D =n

n Q Q 112=+n

n Q Q 01

1

=+n

n n Q Q Q 1210=+

L

Q 0 Q 1 Q 2 Q 3 CP υI

4V υ

υ

数字电子技术基础试卷(本科)及参考答案试卷三

一、(16分)

1.(12分)逻辑电路如图1-1 a、b、c、d所示。试对应图e所示输入波形,分别画出输出端L1、

图1-1

2.(4分)用代数法化简:

二、(10分)已知逻辑函数:

画出逻辑函数F1、F2和F的卡诺图;用最少的与非门实现逻辑函数F,画出逻辑图。

三、(8分)分析图3所示逻辑电路,写出输出端的逻辑函数表达式,列出真值表,说

图3

四、(12分)用数据选择器组成的多功能组合逻辑电路如图4所示。图中G1、G0为功能选择输入信号,X、Z为输入逻辑变量,F为输出逻辑函数。分析该电路在不同的选择信号时,可获得哪几种逻辑功能,请将结果填入表4中。

A

B

C

L2 C

B

A

L3

(e)

C

(c)

C

(b)

C

1

Ω

A

B

4

(d)

C

B

A

C

B

A

C

B

A

F+

+

+

+

=

1

)

D

C

A

D

C

(A

B

C)

(A

F+

=

2

1

2

2

1

F

F

F

BCD

C

B

CD

A

D

C

B

A

(A,B,C,D)

F

D

C

B

A

D

B

A

C

A

AB

(A,B,C,D)

F

?

=

+

+

+

=

+

+

+

=

1

图4

五、(12分)设计一个组合逻辑电路。电路输入DCBA为8421BCD码,当输入代码所对应的十进制数能被4整除时,输出L为1,其他情况为0。

1.用或非门实现。

2.用3线-8线译码器74HC138和逻辑门实现。

(0可被任何数整除,要求有设计过程,最后画出电路图)

六、(14分)分析如图6所示时序逻辑电路

1.写出各触发器的激励方程、输出方程

2.写出各触发器的状态方程

3.列出电路的状态表并画出状态图

4.说明电路的逻辑功能。

图6

七、(16分)用边沿JK触发器和最少的逻辑门设计一个同步可控2位二进制减法计数器。当控制信号X=0时,电路状态不变;当X=1时,在时钟脉冲作用下进行减1计数。要求计数器有一个输出信号Z,当产生借位时Z为1,其他情况Z为0。

八、(12分)时序信号产生电路如图8所示,CP为1kHz正方波。

1.说明74161和非门组成电路的逻辑功能;

2.对应CP输入波形,画出电路中υO1、υO2的电压波形。

3.计算υO2的输出脉宽t W;

4.试问υO2的频率与CP的频率比是多少?

5.如改变74161数据输入,使D3D2D1D0=1000,试问υO2与CP的频率比又是多少?

图8

试卷三参考答案

一、

1.各电路输出端的波形如图A1所示。

图A1

2.,

二、逻辑函数F 1、F 2和F 的卡诺图如图A2所示。

图A2

化简并变换逻辑函数F 得

逻辑图略

三、,

真值表如表A3所示。电路实现全加器功能。

V CC

0.01μF

CP

1

2

3 4 5

6

7 8 9

L L L L 1

1=F D

B A

C C A F 2++=C B A

D B A CD C B A D B A CD F ??=++=C

B A B

C A AB L 1++=C B A L ⊕⊕=2

四、分析电路可得G 1 、G 0为不同取值时的逻辑功能如表A4所示。

五、1.真值表略,用卡诺图化简得最简的或非表达式为

或非门实现的电路图如图A5-1所示 2. 变换函数L 的表达式得

用74HC138实现的电路如图A5-2所示。

图5-1 图5-2

六、

1.激励方程:

输出方程:

2.状态方程:

3.状态表如表A6所示。状态图如图A6所示。

B

A L +=4

0Y Y A B C A B C B A L ?=+==

L

L

A B C

1 0 0

)(12211A Q Q D Q D n n

n

⊕⊕==1212

n

n

n n

Y A Q Q AQ Q =+)

(12121

11A Q Q Q Q Q n n

n n

n ⊕⊕==++

图A6

4.电路为可逆计数器。A =0时为加法器;A =1时为减法器;Y 端为加法器的进位输出端和减法器的借位输出端。

七、状态图如图A7所示。状态表如表A7所示。

表A7

激励方程为:

输出方程为: 逻辑图及自启动检查略。

八、1.74HC161和非门组成四进制计数器 2.υO1和υO2的波形如图A8所示。

图A8

0/0 ?????==X Q K Q X J n n 0101???==X K X J 00X

Q Q Z n

n 01=

υo1CP υo2

表A6

3.υO2的输出脉宽 t W ≈1.1RC =1.2ms 4.

的频率为CP 频率的四分之一

5.当=D 3D 2D 1D 0=1000时,的频率为CP 频率的八分之一

数字电子技术基础试卷(本科)及参考答案

试卷四

一、选择,填空题(16分)

1.卡诺图如图1-1所示,电路描述的逻辑表达式F = 。

A .

B .

C .BC+AD+B

D D .

2.在下列逻辑部件中,不属于组合逻辑部件的是 。

A .译码器

B .编码器

C .全加器

D .寄存器

3.八路数据选择器,其地址输入端(选择控制端)有 个。

A .8个 B

.2个 C .3个 D .4个

4.为将D 触发器转换为T 触发器,图9.4.2所示电路的虚线框内应是 。

A .或非门

B .与非门

C .异或门 图1-2

5.一位十进制计数器至少需要 个触发器。

A .3

B .4

C .5

D .10

6.有一A/D 转换器,其输入和输出有理想的线性关系。当分别输入0V 和5V 电压时,输出的数字量为00H 和FFH ,可求得当输入2V 电压时,电路输出的数字量为

A .80H

B .67H

C .66H

D . 5FH

02

v 02

v D C D A D B ++C A C B B A ++AB CD AB ++

7.容量是512K×8的存储器共有

A.512根地址线,8根数据线B.19根地址线,8根数据线

C.17根地址线,8根数据线D.8根地址线,19根数据线。

8.在双积分A/D转换器中,输入电压在取样时间T1内的平均值V I与参考电压V REF应满足的条件是________。

A.|V I|≥|V REF| B.|V I|≤|V REF| C.|V I|=|V REF| D.无任何要求

二、(12分)电路及其输入信号A.B.C的波形分别如图2所示。试画出各的输出波形。(设触发器初态为0)

三、(12分)由可编程逻辑阵列构成的组合逻辑电路如图3所示。

1.写出L1、L2的逻辑函数表达式;

2.列出输入输出的真值表;

3

四、(12分) 某组合逻辑电路的输入、输出信号的波形如图4所示。

1.写出电路的逻辑函数表达式;

2.用卡诺图化简逻辑函数;

3.用8选1数据选择器74HC151实现该逻辑函数。L3

A

B

C

L1

L2

图4

五、(16分)分析如图5 a 所示时序逻辑电路。(设触发器的初态均为0) 1.写出驱动方程、输出方程; 2.列出状态表;

3

Z 的波形。

(a) (b )

图5

六、(16分)试用负边沿

D 触发器设计一同步时序逻辑电路,其状态图如图6

所示。 1.列出状态表;

2.写出激励方程和输出方程; 3.画出逻辑电路。

图6

七、(16分)波形产生电路如图7所示。

1.试问555定时器组成的是什么功能电路?计算v o1输出信号的周期和占空比; 2.试问74LVC161组成的是什么功能电路?列出其状态表; 3.画出输出电压v o 的波形,并标出波形图上各点的电压值。 4.计算v o 周期。

A B C D Z (MSB ) (LSB )

CP

X

10k 68k 0.01μR R

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

模拟电子技术期末考试试卷及答案

《模拟电子技术》期末考试卷 一、填空题(20 分) 1、二极管最主要的特性是 。 2、当三极管处于放大状态时其放射结、集电结的偏置方式为 、 。 3、多级放大电路中,常见的级间的耦合方式有 、 、 。 4、负反馈放大电路中的四种组态为 、 、 、 。 5、正弦波振荡电路的振荡条件为 、 。 二、选择题(20分) 1、P 型半导体中的多数载流子是 ,N 型半导体中的多数载流子是 。A 、电子 B 、空穴 C 、正离子 2、杂质半导体中少数载流子的浓度 本征半导体载流子的浓度。A 、大于 B 、小于 C 、等于 3、温度升高,二极管在正向电流不变的情况下的正向电压 ,反向电流 。 A 、增大 B 、减小 C 、不变 4、如图所示复合管,已知V 1的1 = 30,V 2的 2 = 50,则复合后的 约为 ( )。 A .1500 .80 C 5、RC 串并联网络在f=f 0=1/2 RC 时呈 。 A 、感性 B 、阻性 C 、容性 三、判断题(10分)(对的打“√”,错的打“×”) 1、本征半导体温度升高后,两种载流子浓度还是相等。( ) 2、参数理想对称的双端输入双端输出差分放大电路只能放大差模信号,不能放大共模信号。( ) 3、放大电路采用复合管是为了增大放大倍数和输入电阻。( ) 4、负反馈越深,电路的性能越稳定。( ) 5、集成运放在开环情况下一定工作在非线性区。( ) 四、简答题:( 25分) 1.写出下图所示各电路的输出电压值,设二极管导通电压U D =。 V 2 V 1

2、判断下图所示电路中是否引入了反馈,如果有反馈试判断反馈类型 ¥+ -+R S u o R L u i R F (b) R 1 R 2 R 4 R 5 R 3 u i + -+v cc -+ V 1 V 2 u o C 1 +- 3、 电路下图所示:请将图中左右两部分正确连接起来,使之能够产生正弦波振荡 五、计算题(25分) 1、电路如下图所示,试求出电路A U 、R i 、和R 0的表达式。

数电期末模拟题及答案

《数字电子技术》模拟题一 一、单项选择题(2×10分) 1.下列等式成立的是( ) A 、 A ⊕1=A B 、 A ⊙0=A C 、A+AB=A D 、A+AB=B 2.函数F=(A+B+C+D)(A+B+C+D)(A+C+D)的标准与或表达式是( ) A 、F=∑m(1,3,4,7,12) B 、F=∑m(0,4,7,12) C 、F=∑m(0,4,7,5,6,8,9,10,12,13,14,15) D 、F=∑m(1,2,3,5,6,8,9,10,11,13,14,15) 3.属于时序逻辑电路的是( )。 A 、寄存器 B 、ROM C 、加法器 D 、编码器 4.同步时序电路和异步时序电路比较,其差异在于后者( ) A 、没有触发器 B 、没有统一的时钟脉冲控制 C 、没有稳定状态 D 、输出只与内部状态有关,与输入无关 5.将容量为256×4的RAM 扩展成1K ×8的RAM ,需( )片256×4的RAM 。 A 、 16 B 、2 C 、4 D 、8 6.在下图所示电路中,能完成01=+n Q 逻辑功能的电路有( ) 。 A 、 B 、 C 、 D 、 7.函数F=A C+AB+B C ,无冒险的组合为( )。 A 、 B=C=1 B 、 A=0,B=0 C 、 A=1,C=0 D 、 B=C=O 8.存储器RAM 在运行时具有( )。 A 、读功能 B 、写功能 C 、读/写功能 D 、 无读/写功能 9.触发器的状态转换图如下,则它是: ( ) A 、T 触发器 B 、RS 触发器 C 、JK 触发器 D 、D 触发器 10.将三角波变换为矩形波,需选用 ( ) A 、多谐振荡器 B 、施密特触发器 C 、双稳态触发器 D 、单稳态触发器 二、判断题(1×10分) ( )1、在二进制与十六进制的转换中,有下列关系: (1001110111110001)B =(9DF1)H ( )2、8421码和8421BCD 码都是四位二进制代码。 ( )3、二进制数1001和二进制代码1001都表示十进制数9。 ( )4、TTL 与非门输入采用多发射极三极管,其目的是提高电路的开关速度。 ( )5、OC 与非门的输出端可以并联运行,实现“线与”关系,即L=L 1+L 2 ( )6、CMOS 门电路中输入端悬空作逻辑0使用。 ( )7、数字电路中最基本的运算电路是加法器。 ( )8、要改变触发器的状态,必须有CP 脉冲的配合。

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.wendangku.net/doc/f718947975.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

《电子技术应用》期中考试试卷

一、 选择题(本题共5小题,每小题2分,共10分) 1、下列符号中表示发光二极管的为( )。 A B C D 2、硅管正偏导通时,其管压降约为( )。 A 0.1V B 0.3V C 0.5V D 0.7V 3、在三极管放大电路中,下列等式不正确的是( )。 A. C B E I I I += B. B C I I β= C. B E I I )1(β+= D. B E I I β= 4、当三极管的发射结和集电结都正偏时,工作于( )状态。 A. 放大 B. 截止 C. 饱和 D. 无法确定 5、NPN 型三极管要实现放大作用,c 、b 、e 三个电极的电位必须符合:( ) A. E B C U U U >> B. B E C U U U >> C. E B U U > D. B C U U > 二、填空题((本题共5小题,每空2分,共20分) 1、在本征半导体中掺入 元素得N 型半导体,掺入 元素则得 P 型半导体。 2、二极管P 区接电源 极,N 区接电源 极,称正向偏置,二极 管导通;反之,称反向偏置,二极管截止,所以二极管具有 性。 3、三极管电流放大作用的条件是:发射结加 电压,集电结 加 电压。 4、三极管电流放大系数β反映了放大电路中 极电流对 极电流的控制能力。 5、工作在放大区的一个三极管,如果基极电流从10微安变化到22微安 时,集电极电流从1毫安变为2.2毫安,则该三极管的β约为 。 三、计算题(本题共5小题,共70分) 1、二极管电路如图所示,判断图中二极管是导通还是截止,并确定各电 路的输出电压AB U 。(设二极管为硅管,导通压降为0.7V ) (15分) 2、已知三极管的发射极电流mA I E 24.3=,基极电流A I B μ40=,求集电极电流C I 的数值。 (10分)

数字电子技术 期末考试试题

数字电子技术期末考试试题 期末考试试题 课程名称《数字电子技术》适用专业自动化、测控考试时间 ( 120 )分钟 一、填空题(22分每空2分) A,0,A,1,1、 , 。 2、JK触发器的特性方程为: 。 3、单稳态触发器中,两个状态一个为态,另一个为态.多谐振荡器两个状态都为 态,施密特触发器两个状态都为态. 4、组合逻辑电路的输出仅仅只与该时刻的有关,而与无 关。 5、某数/模转换器的输入为8位二进制数字信号(~D),输出为D0~25.5V的模拟电压。若数字信70号的最低位是“1”其余各位是“0”,则输出的模拟电压为。 6、一个四选一数据选择器,其地址输入端有个。二、化简题(15分每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈 1) Y(A,B,C,D)=?m(0,1,2,3,4,5,6,7,13,15) 2)L(A,B,C,D),m(0,13,14,15),d(1,2,3,9,10,11) ,, 利用代数法化简逻辑函数,必须写出化简过程 __________________________________________________ 3) F(A,B,C),AB,ABC,A(B,AB) 三、画图题(10分每题5分) 据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、

2、 四、分析题(17分) 1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分) 2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分) 五、设计题(28分) 1、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不 正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,要求用 74LS138和适当的与非门实现此电路(20分)

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

电力电子技术期末复习考卷综合

一、填空题: 1、电力电子技术的两个分支是电力电子器件制造技术和 变流技术 。 2、举例说明一个电力电子技术的应用实例 变频器、 调光台灯等 。 3、电力电子承担电能的变换或控制任务,主要为①交流变直流(AC —DC )、②直流变交流(DC —AC )、③直流变直流(DC —DC )、④交流变交流(AC —AC )四种。 4、为了减小电力电子器件本身的损耗提高效率,电力电子器件一般都工作在 开关状态,但是其自身的功率损耗(开通损耗、关断损耗)通常任远大于信息电子器件,在其工作是一般都需要安装 散热器 。 5、电力电子技术的一个重要特征是为避免功率损耗过大,电力电子器件总是工作在开关状态,其损耗包括 三个方面:通态损耗、断态损耗和 开关损耗 。 6、通常取晶闸管的断态重复峰值电压UDRM 和反向重复峰值电压URRM 中较 小 标值作为该器件的额电电压。选用时,额定电压要留有一定的裕量,一般取额定电压为正常工作时晶闸管所承受峰值电压的2~3倍。 7、只有当阳极电流小于 维持 电流时,晶闸管才会由导通转为截止。导通:正向电压、触发电流 (移相触发方式) 8、半控桥整流带大电感负载不加续流二极管电路中,电路可能会出现 失控 现象,为了避免单相桥式 半控整流电路的失控,可以在加入 续流二极管 来防止失控。 9、整流电路中,变压器的漏抗会产生换相重叠角,使整流输出的直流电压平均值 降低 。 10、从晶闸管开始承受正向阳极电压起到施加触发脉冲止的电角度称为 触发角 。 ☆从晶闸管导通到关断称为导通角。 ☆单相全控带电阻性负载触发角为180度 ☆三相全控带阻感性负载触发角为90度 11、单相全波可控整流电路中,晶闸管承受的最大反向电压为 2√2U1 。(电源相电压为U1) 三相半波可控整流电路中,晶闸管承受的最大反向电压为 。(电源相电压为U 2) 12、四种换流方式分别为 器件换流 、电网换流 、 负载换流 、 强迫换流 。 13、强迫换流需要设置附加的换流电路,给与欲关断的晶闸管强迫施加反压或反电流而关断。 14、直流—直流变流电路,包括 直接直流变流电路 电路和 间接直流变流电路 。(是否有交流环节) 15、直流斩波电路只能实现直流 电压大小 或者极性反转的作用。 ☆6种斩波电路:电压大小变换:降压斩波电路(buck 变换器)、升压斩波电路、 Cuk 斩波电路、Sepic 斩波电路、Zeta 斩波电路 升压斩波电路输出电压的计算公式 U= 1E β=1- ɑ 。 降压斩波电路输出电压计算公式: U=ɑE ɑ=占空比,E=电源电压 ☆直流斩波电路的三种控制方式是PWM 、 频率调制型 、 混合型 。 16、交流电力控制电路包括 交流调压电路 ,即在没半个周波内通过对晶闸管开通相位的控制,调节输出电压有效值的电路, 调功电路 即以交流电的周期为单位控制晶闸管的通断,改变通态周期数和断态周期数的比,调节输出功率平均值的电路, 交流电力电子开关即控制串入电路中晶闸管根据需要接通或断开的电路。

数字电子技术期末试题库

【数字电子技术】【试题库】 一、填空题 1.电子电路中的信号可分为两大类,即模拟信号和。 2.数字信号是时间上和上都不连续的信号。 3.十进制数176转换成二进制数为。 4.二进制数11010011转换成十进制数为。 5.所谓二-十进制编码,就是用若干位二进制码元按一定的规律排列起来表示十进制数的过程,也称为码。 6.目前,国际最通用的处理字母、专用符号和文字的二进制代码就是美国标准信息交换码,即码。 ?+?=。 7.二进制数的逻辑运算0111 8. 二进制数的逻辑运算11=。 ⊕=。 9. 二进制数的逻辑运算11 +?=。 10.利用逻辑代数公式,对右式进行化简,A A B ++?=。 11.利用逻辑代数公式,对右式进行化简,A B A B 12.逻辑代数的三条重要规则分别是代入规则、反演规则和。 13.由n个逻辑变量组成的不同最小项个数为个。 14.由n个变量组成的“与或”逻辑表达式,若其中每一项均是关于n个逻辑变量的最小项,则称这一表达式为。 15.利用卡诺图求解最简逻辑表达式时,需要画方格圈,其中有三条要求:将2n个值为1的方格划为一个方格圈,方格圈的数量应(越少/越多)越好,方格圈所含的方格数应(越少/越多)越好。 16.三极管作为开关元件,通常工作在截止区和。 17.集成门电路主要有TTL门电路和。 18.三态门电路的输出有高电平、低电平和共3种状态。 19.TTL集成门电路是由半导体构成的,由于它工作速度快,带负载和抗干扰能力强,因而在数字电路中应该广泛。 20.根据逻辑功能的不同特点,数字逻辑电路可以分为两大类:组合逻辑电路和。 21.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现干扰脉冲,从而导致逻辑电路产生错误输出的现象,称为。 22.触发器有两种稳定状态,即0状态和。 23.RS触发器由两个门电路首尾相连构成。 24.为了避免基本RS触发器输出存在不确定的情况,对其输入端设置了相应的约束条件是。

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

《电子技术》期中考试试卷

2012~2013年第二学期《电子技术》期中考试试卷 总分:100分时量:60分钟 班级:姓名:学号: 一、填空题(37×1分=37分) 1、能够将变成的电路称为整流电路,能够将变成比较平滑的的电路称为滤波电路。 2、PN结正偏时,P区接电源的极,N区接电源的极;PN 结反偏时,P区接电源的极,N区接电源的极。 3、硅二极管的正向压降约为V,锗二极管的正向压降约为V。 4、利用半导体二极管的特性,将变成的过程称做整流。 5、交流电经过变成脉动直流电后,仍有变化,滤波电路能够脉动直流电中的成分。 6、半导体三极管有两个PN结,即结和结;有三个电极,即极、 极和极,分别用、、和表示。 7、晶体管有型和型,前者的图形符号是,后者的图形符号是。 8、半导体三极管的输出特性曲线可分为三个区域,即区、区和区。 9、放大电路设置静态工作点的目的是。 10、在纯净的硅晶体中掺入三价元素,就成为型半导体,它的多数载流子量是,少数载流子是。 二、选择题(6×4分=21分) 1、在纯净半导体硅中,掺入微量的()价元素就成了N型半导体。 A. 三价 B. 四价 C. 五价 2、性能良好的二极管正向电阻()反向电阻。A. 大于 B. 等于 C. 小于 D. 不确定 3、稳压二级管的稳压性能是利用二极管的()特性实现的。 A. 单向导电 B.反向击穿 C.正向导通 D.反向截止 4、整流的目的是()。 A. 将高频变为低频 B. 将低频变为高频 C. 将正弦波变为方波 D. 将交流变为直流 5、整流电路后面接入滤波电路的目的是()。 A. 去除直流电中的脉动成份 B. 将高频变成低频 C. 将正弦交流信号变成矩形脉冲 D. 将直流电变成交流电 6、三极管的发射结正偏、集电路反偏时,三极管处于()。 A. 放大状态 B. 饱和状态 C.截止状态 三、判断题(5×2分=10分) 1、PN结正向偏置时导通,反向偏置时截止。() 2、在P型半导体中,多数载流子是电子。() 3、二极管加反向电压不一琯是导通状态。() 4、稳压二极管是工作在反向击穿状态。() 5、单相半波整流电路的特点是:电路简单、成本低,输出电压高、脉动小。() 四、综合题(14分+15分=29分) 1、试画出单相半波整流的电路图,并说明它的整流过程。 2、请画出共射放大电路的原理图,并写出静态工作点的计算公式。(I BQ、I CQ、V CEQ)

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

电工电子技术期末考试试题及答案

专业班级____________ 考生姓名:____________ 学号_______ 一.选择(20分、2分/题) 1.变压器降压使用时,能输出较大的____b_____。 A、功率 B、电流 C、电能 D、电功 2.三相异步电动机旋转磁场的旋转方向是由三相电源的 ________b_决定。 A、相位 B、相序 C、频率 D、相位角 3.电气控制线路原理图中,触头的位置是处于______a___。 A、未通电状态 B、通电状态 C、根据情况确定状 态 4.为保证机床操作者的安全,机床照明灯的电压应选 ____d_____。 A、380V B、220V C、110V D、36V以下 5.关于提高功率因数的说法,正确的是( c ) A.在感性负载上并联电感可以提高功率因数

B.在感性负载上并联电容可以降低功率因数 C.在感性负载上并联电容可以提高功率因数 6.乙类互补对称式功放电路,其输出波形的交越失真是指( c )。 A.频率失真 B、相位失真 C、波形过零时出现的失真 D、幅度失真 7.稳压管的动态电阻( b )稳压性能越好。 A、越大 B、越小 C、较合适 D、不一定 8.运算放大器电路如图所示,该电路中反馈类型为( )。a (A) 串联电压负反馈(B) 串联电流负反馈 (C) 并联电压负反馈(D) 并联电流负反馈 -+∞ + u O u i 9.单稳态触发器的输出状态有( a) A、一个稳态、一个暂态 B、两个稳态 C、只有一个稳态 D、没有稳态 10.一个8选1多路选择器,输入地址有 c 。 A、2位 B、3位 C、4位 D、8位 二、计算题(70分) 1.已知图5所示电路中U S1=24V,U S2 =6V,R 1 =12Ω,R 2 =6 Ω,R 3=2Ω,试用戴维宁定理求流过电阻R 3 中的电流I 3 。(10分) a I

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

电子技术期末考试试卷及答案

电子技术期末考试试卷及答案 课程 电子技术 授课教师 考试时刻 考试班级 姓名 学号 题号 一 二 三 四 总 分 得分 1、稳压管的稳压性能是利用PN 结的( )。 A 、单向导电特性 B 、正向导电特性 C 、反向击穿特性 2、电路如图1所示,A 点与B 点的电位差U AB 约等于( )。 A 、0.3V B 、-2.3V C 、1.3V 100k Ω 100k Ω 18k Ω 6k Ω 2AP 15 12V A B (图1) (图2) 3、工作在放大区的某三极管,假如当I B 从12μA 增大到22μA 时,I C 从1mA 变为2mA ,那么它的β值约为( )。 A 、 83 B 、 91 C 、100 4、图2所示电路,已知晶体管β=60,R C k =2 Ω,忽略U BE ,如要将集电极电流I C 调整到1.5mA ,R B 应取( )。 A 、480k Ω B 、120k Ω C 、240k Ω 5、固定偏置单管交流放大电路的静态工作点Q 如图3所示,当温度升高时,工作点Q 将( )。 A 、不改变 B 、向Q′移动 C 、向Q″移动 (图3) (图4) 6、集成运算放大器输入级选用差动放大电路的要紧缘故是( )。 A 、克服零漂 B 、提高输入电阻 C 、稳固输入 7、运算放大器电路如图4所示,R L 为负载电阻,则R F2引入的反馈为( )。 A 、串联电流负反馈 B 、并联电流负反馈 C 、串联电压负反馈 8、关于反馈对放大电路输入电阻Ri 的阻碍,正确的是( ) A 、负反馈增大Ri ,正反馈减小Ri B 、串联反馈增大Ri ,并联反馈减少Ri C 、串联负反馈增大Ri ,并联负反馈减少Ri 9、由开关组成的逻辑电路如图5所示,设开关A 、B 接通为“1”,断开为“0”,电灯亮为“1”,电 灯暗为“0”,则该电路表示的逻辑关系是( )。 A 、“与”门 B 、“或”门 C 、“非”门 (图5) (图6) 10、三位二进制译码器应有 个输入量,应有 个输出量( )。 A 、6 3 B 、8 3 C 、3 8 11、图6所示逻辑电路的逻辑式为( ) A.、F=C B A ++ B.、F=C B A C 、 F=ABC ++-∞R 2 R F 2R 1 R L R F1 i L u I ++C 2 C 1 R B R C u u i + - + - +12V

相关文档
相关文档 最新文档