文档库 最新最全的文档下载
当前位置:文档库 › 数电课后习题答案

数电课后习题答案

数电课后习题答案
数电课后习题答案

第四章 组合逻辑电路

一、选择题

1.下列表达式中不存在竞争冒险的有 C D 。

A.Y=B +A B

B.Y =AB +B C

C.Y =A B C +AB

D.Y=(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为 B 位。

A.5

B.6

C.10

D.50

3.一个16选1的数据选择器,其地址输入(选择控制输入)端有 C 个。

A.1

B.2

C.4

D.16 4.函数C B AB C A F ++=,

当变量的取值为 AC D 时,将出现冒险

现象。

A.B=C=1

B.B =C =0

C.A =1,C=0

D.A=0,B =0 5.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的逻辑表达式为Y= A 。

A.3X A A X A A X A A X A A 01201101001+++

B.001X A A

C.101X A A

D.3X A A 01

6.一个8选一数据选择器的数据输入端有 E 个。

A.1

B.2

C.3

D.4

E.8 7.在下列逻辑电路中,不是组合逻辑电路的有D 。 A.译码器 B.编码器 C.全加器 D.寄存器 8.八路数据分配器,其地址输入端有 C 个。

A.1

B.2

C.3

D.4

E.8

9.组合逻辑电路消除竞争冒险的方法有 A B 。

A. 修改逻辑设计

B.在输出端接入滤波电容

C.后级加缓冲电路

D.屏蔽输入信号的尖峰干扰 10.101键盘的编码器输出 C 位二进制代码。 A.2 B.6 C.7 D.8

11.以下电路中,加以适当辅助门电路,AB 适于实现单输出组合逻辑电路。

A.二进制译码器

B.数据选择器

C.数值比较器

D.七段显示译码器

12.用四选一数据选择器实现函数Y=0101A A A A +,应使 A 。 A.D 0=D 2=0,D 1=D 3=1 B.D 0=D 2=1,D 1=D 3=0 C.D 0=D 1=0,D 2=D 3=1 D.D 0=D 1=1,D 2=D 3=0

13.用三线-八线译码器74LS 138和辅助门电路实现逻辑函数Y =122A A A +,应AB 。

A.用与非门,Y=765410Y Y Y Y Y Y

B.用与门,Y=32Y Y

C.用或门,Y =32Y Y +

D.用或门,Y=765410Y Y Y Y Y Y +++++ 二、判断题(正确打√,错误的打×)

1. 优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。(×)

2. 编码与译码是互逆的过程。(√)

3. 二进制译码器相当于是一个最小项发生器,便于实现组合逻辑电路。(√)

4. 共阴接法发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。(√)

5. 数据选择器和数据分配器的功能正好相反,互为逆过程。(√)

6. 用数据选择器可实现时序逻辑电路。(×)

7.组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。(×)

三、求解题

1. 设计一个监视A,B,C 3台水泵工作状态的电路。正常情况下只能有一台水泵工作,电路输出为0,除此之外输出都为1.列出真值表,写出最简与或表达式,并用与非门实现电路。

解题思路:

1.设输入变量:A,B,C表示三台水泵

输出变量:L(一台水泵工作L=0,否则L=1)

2.列功能表(真值表):

3. 化简得逻辑表达式

L=ABC+AC+BC+AB

4. 画电路图(略)

2. 图示是一个电加热水容器的示意图,图中A 、B 、C 为水位传感器。当水位在BC 之间时,为正常状态,绿灯亮;当水位在C 以上或AB 之间时,为异常状态,黄灯亮;当水位在A 以下时,为危险状态,红灯亮。试用译码器和必要的门电路设计一个水位监视电路。

解题思路:

1. 设输入变量三个水位传感器分别为:A ,B ,C (被淹没为1,未被淹没为0) 输出变量:三个指示灯G 绿灯,Y 黄灯,R 红灯(灯亮为1,灯灭为0)

2. 列出功能表

3.写出逻辑表达式

G=CBA =3m Y=CBA+CBA =17m m R=CBA =0m 4.画电路图(用译码器来实现逻辑电路)

1 0

C B A

R G Y

3.优先编码器CD4532构成的电路及输入信号如图所示,试确定其输出Y2Y1Y0。

1

解题思路:正确理解CD4532功能表中使能端的有效信号

CD4532的EI端为使能端:EI=1时,编码器工作

EI=0时,禁止编码器工作

所以Y2Y1Y0=000

4.试用74HC138译码器设计组合逻辑电路,当二进制数ABCD能被5整除时,输出L为1,否则为0.

解题思路:74HC138为输入3线,输出8线的译码器,而本题要求设计的电路有4个输入端ABCD,所以需要2片74HC138.

1.设输入为ABCD,共16种组合,其中0,5,10,15可以被5整除

输出为L(整除为1,除此之外为0)

2.列功能表写出表达式

m m m m

L=m0+m5+m10+m15=

051015

3.画电路图:因为3线-8线译码器只有三个地址输入端,所以把B,C,D作为

地址段,A接在使能端,可以作为片选信号。当A=0时,第一片工作,第二片不工作,反之,亦然。如图所示

74HC138(1)74HC138(2)

5.人的血型有O,A,B,AB。输血时输血者和受血者血型必须符合如图的关系。试用一片8选1数据选择器74HC151设计一个逻辑电路,用以检测输血者和受血者的血型是否符合要求。

解题思路:根据实际需求确定输入输出变量。如果将每种血型作为输入,会有8个输入,将有28种组合,太多不便于设计。因此,取2个逻辑变量的4种组合表示输血者血型,另2种变量的4种组合作为受血者血型。

1. 输入变量:C,D表示输血者血型输出为L:L=1,血型相符

E,F表示受血者血型L=0,血型不符

2.列功能表

4. 设计电路:本题要求有四个输入端,而74HC151只有3个地址输入端,因此其中要有一个接在数据输入端,设:S 0=E ,S 1=D ,S 2=C ,由此得出D0=D1=D5=1,D4=D6=0,D2=D3=D7=F ,

L

1

F

数字电子技术_第四章课后习题答案_(江晓安等编)

第四章组合逻辑电路 1. 解: (a)(b)是相同的电路,均为同或电路。 2. 解:分析结果表明图(a)、(b)是相同的电路,均为同或电路。同或电路的功能:输入相同输出为“1”;输入相异输出为“0”。因此,输出为“0”(低电平)时,输入状态为AB=01或10 3. 由真值表可看出,该电路是一位二进制数的全加电路,A为被加数,B为加数,C为低位向本位的进位,F1为本位向高位的进位,F2为本位的和位。 4. 解:函数关系如下: AB S F+ ⊕ = + + A BS S S A B B 将具体的S值代入,求得F 3 1 2 值,填入表中。

A A F B A B A B A A F B A B A A F A A F AB AB F B B A AB F AB B A B A B A AB F B A A AB F B A B A B A F B A AB AB B A B A F B B A B A B A B A B A B A F AB BA A A B A A B A F F B A B A F B A B A F A A F S S S S =⊕==+==+⊕===+⊕===⊕===⊕===+⊕===+=+⊕===⊕==+==⊕==Θ=+=+⊕===+++=+⊕===+=⊕===⊕==+=+⊕==+=+⊕===⊕==01111 1110 1101 01100 01011 1010 1001 1000 00111 0110 )(0101 0100 1010011 10010 10001 10000 0123

5. (1)用异或门实现,电路图如图(a)所示。 (2) 用与或门实现,电路图如图(b)所示。 6. 解因为一天24小时,所以需要5个变量。P变量表示上午或下午,P=0为上午,P=1为下午;ABCD表示时间数值。真值表如表所示。 利用卡诺图化简如图(a)所示。 化简后的函数表达式为

(完整版)数字电路与逻辑设计课后习题答案蔡良伟(第三版)

数字电路答案 第一章习题 1-1 (1)10 108222*86*826=+= {{82 010110 262610110== {{2161 6 101100001011016== (2) 210 1081081*85*84*8154=++= {{{82001100 101154154 1101100== {{2166 1101100011011006C C == (3)101 10813.1251*85*81*815.1-=++= {{{82001001 10115.115.1 1101.001== {{2162 1101.0011101.0010.2D D == (4)2101 108131.6252*80*83*85*8203.5-=+++= {{{{82010000011101 203.5203.510000011.101== {{{2168 3 10000011.10110000011.101083.A A == 1-2 (1){{285 5 10110110110155== {{2162 101101001011012D D == 10 810555*85*845=+= (2){{{283 4 5 11100101011100101345== {{2165 11100101111001015E E == 2108103453*84*85*8229=++=

(3){{{285 1 4 101.0011101.001100 5.14== {{2165 3 101.00110101.0011 5.3== 012 8105.145*81*84*8 5.1875--=++= (4){{{287 4 4 100111.101100111.10147.4== {{{2162 7 100111.10100100111.101027.A A == 101 018625.398*58*78*45.47=++=- 1-3 (1)10 810161*86*814=+= {{82001110 16161110== {21611101110E E == (2)210 8101721*87*82*8122=++= {{{82001010 111172172 1111010== {{167 2 7101001111111010 A A == (3)1012 81061.536*81*85*83*849.672--=+++= {{{{82001110101011 61.5361.53110001.101011== {{{{2163 1 110001.10101100110001.1010110031.A C AC == (4)21012 810126.741*82*86*87*84*886.9375--=++++= {{{{{82001010100 110111126.74126.74 1010110.1111== {{{2165 6 1010110.111101010110.111156.F F == 1-4 (1){{ 16200101010 22101010A A == {{285 2 10101010101052== 10 810525*82*842=+=

复习题(数电答案)

1.下列四种类型的逻辑门中,可以用( D )实现与、或、非三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 2. 根据反演规则,CD C B A F ++=)(的反函数为(A )。 A. ))((''''''D C C B A F ++= B. ))((''''''D C C B A F ++= C. ))((''''''D C C B A F += D. ))(('''''D C C B A F ++= 3.逻辑函数F=)(B A A ⊕⊕ =( A )。 A. B B. A C. B A ⊕ D. B A ⊕ 4. 最小项ABCD 的逻辑相邻最小项是( A )。 A. ABCD B. ABCD C. ABCD D. ABCD 5. 对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。 A. 通过大电阻接地(>1.5K Ω) B. 悬空 C. 通过小电阻接地(<1K Ω) D. 通过电阻接+VCC 6. 下列说法不正确的是( C )。 A .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑。 B .三态门输出端有可能出现三种状态(高阻态、高电平、低电平)。 C .OC 门输出端直接连接可以实现正逻辑的线与运算。 D .集电极开路的门称为OC 门。 7.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 8. 若用JK 触发器来实现特性方程为1+n Q Q AB Q +=A ,则JK 端的方程为( A )。 A.J=AB ,K=A B.J=AB ,K=A C. J =A ,K =AB D.J=B A ,K=AB 9.要将方波脉冲的周期扩展10倍,可采用( C )。 A 、10级施密特触发器 B 、10位二进制计数器 C 、十进制计数器 D 、10位D/A 转换器 10. 一个16选1的数据选择器,其地址输入端有( C )个。 A.1 B.2 C.4 D.16 11. 8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出012Y Y Y ??的值是( C )。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数电课后习题及解答

题1.1 完成下面的数值转换: (1)将二进制数转换成等效的十进制数、八进制数、十六进制数。 ①(0011101)2②(11011.110)2③(110110111)2 解:①(0011101)2 =1×24+ 1×23+ 1×22+ 1×20=(29)10 (0011101)2 =(0 011 101)2= (35)8 (0011101)2 =(0001 1101)2= (1D)16 ②(27.75)10,(33.6)8,(1B.C)16; ③(439)10,(667)8,(1B7)16; (2)将十进制数转换成等效的二进制数(小数点后取4位)、八进制数及十六进制数。①(89) ②(1800)10③(23.45)10 10 解得到:①(1011001)2,(131)8,(59)16; ②(11100001000) 2,(3410) 8,(708) 16 ③(10111.0111) 2,(27.31) 8,(17.7) 16; (3)求出下列各式的值。①(54.2)16=()10 ②(127)8=()16 ③(3AB6)16=()4解①(84.125)10;②(57)16;③(3222312)4; 题1.2 写出5位自然二进制码和格雷码。 题1.3 用余3码表示下列各数 ①(8)10 ②(7)10 ③(3)10

解(1)1011;(2)1010;(3)0110 题1.4 直接写出下面函数的对偶函数和反函数。 ()()Y AB C D E C '=++ ()()Y AB A C C D E ''=+++ (())Y A B C D E '''=++++ ()Y A B C A B C '''=++ 解 (1)(())(())(2)()(())()(())(3)(())(())(4)D D D D Y A B C D E C Y A B C D E C Y A B A C C D E Y A B AC C D E Y A BC DE Y A B C D E Y ABC A B C Y A B C A B C '''''''=+++=+++''''''''=+++=+++''''''''''=='''''''=+++=+++,,,, 题1.5 证明下面的恒等式相等 ()()()()()()()()AB C B ABC A BC ABC AB B A B A B BC AD A B B D A C C D A C B D B D AB BC ''+=++''++=++=++++'''+++=+ 1、(AB+C)B=AB+BC=AB ( C+C')+ ( A+A')BC =ABC +ABC'+ABC + A'BC= ABC+ABC'+ A'BC 2、AB'+B+A'B=A+B+A'B=A+B+B=A+B 3、左=BC+AD , 对偶式为(B+C)(A+D)=AB+AC+BD+CD 右=(A+B)(B+D) (A+C)(C+D),对偶式为: AB+AC+BD+CD 对偶式相等,推得左=右。 4、(A+C')(B+D)(B+D')= (A+C')(B+BD+BD')= (A+C')B=AB+BC' 题1.7 在下列各个逻辑函数中,当变量A 、B 、C 为哪些取值组合时,函数Y 的值为1。 Y AB BC A C '=++ Y AB A B C A B ABC '''''=+++ Y AB A B C A B ABC '''''=+++ () Y AB BC A B '=++ Y=AB+BC+A'C = AB(C+C')+BC (A+A')+A'C(B+B') =m7+m6+m1+m3 使以上四个最小项为1时,Y 为1. 即:111;110;011;001 (2)000,001,011,100 (3)100,101,000,011,010,111 (4)110,111,010

数字电路与系统设计课后习题答案

(此文档为word格式,下载后您可任意编辑修改!) 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 解:分别代表28=256和210=1024个数。 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 解:结果都为(FF)16 1.8转换下列各数,要求转换后保持原精度: (0110.1010)余3循环BCD码=(1.1110)2 1.9用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011.01)2=(11.25)10=(0100 0100.0101 1000)余3BCD (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。 A-B=(101011)2=(43)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。

数字电子技术试卷和答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 二.判断题(10) 1.BCD 码即8421码 ( 错 ) 2.八位二进制数可以表示256种不同状态。 ( 对 ) 3.TTL 与非门与CMOS 与非门的逻辑功能不一样。 ( ) 4.多个三态门的输出端相连于一总线上,使用时须只让一个三态门传送信号,其他门处于高阻状态。 (对 ) 5.计数器可作分频器。 ( 对 ) 三.化简逻辑函数(14) 1.用公式法化简- - +++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑= m d D C B A Y ),,,,()+,,,, (84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15)

数电课后习题答案

思考题与习题思考题与习题 第一章 【1-1】(1)(1101)2= (13)10(2)(10111)2=(23)10 (3)(110011)2=(51)10 (4)(11.011)2=(3.375)10 【1-2】(1)(35)10=(100011)2 (2)(168)10 =(10101000)2 (3)(19.85)10=(10011.11011)2 (4)(199)10=(11000111)2 【1-3】(1)(1011011682)()55()AD ==(2)(1110011011682)1()715()CD == (3) (11000111011682 )36()1435()D == (4)(1010101111682)157()527()== 【1-4】答:数字逻辑变量能取“1”,“0”值。它们不代表数量关系,而是代表两种状态,高低电平. 【1-5】答:数字逻辑系统中有“与”,“或”,“非”三种基本运算,“与”指只有决定事件发生的所有的条件都成立,结果才会发生,只要其中有一个条件不成立,结果都不会发生. “与“指只要所有的条件中有一个条件成立,结果就会发生,除非所有的条件都不成立,结果才不会发生. ”非“指条件成立,结果不成立。条件不成立,结果反而成立。 【1-6】答:逻辑函数:指用与,或,非,等运算符号表示函数中各个变量之间逻辑关系的代数式子。 将由真值表写出逻辑函数表达式的方法: 1.在真值表中挑选出所有使函数值为1的变量的取值组合。 2.将每一个选出的变量取值组合对应写成一个由各变量相与的乘积项,在此过程中,如果某变量取值为1,该变量以原变量的形式出现在乘积项中,如果某变量取值为0,则该变量以反变量的形式出现在乘积项中。 3.将所有写出的乘积项相或,即可得到该函数的表达式。 【1-7】答:在n 输入量的逻辑函数中,若m 为包含n 个因式的乘积项,而且这n 个输入变量均以原变量或反变量的形式在m 中出现且仅出现一次,这m 称为该n 变量的一个最小项。 只由最小项组成的表达式称为最小项表达式。 【1-8】将n 个变量的全部最小项各用一个小方块表示,并使具有逻辑相邻性的最小项在几何位置上也相邻地排列起来,所得到的图形称为n 变量的卡诺图。

数电习题及答案

数电习题及答案

一、 时序逻辑电路与组合逻辑电路不同,其电路由 组合逻辑电路 和 存储电路 (触发器) 两部分组成。 二、 描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输出方 程 。 三、 时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时 序逻辑电路 两大类。 四、 试分析图T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方 程,画出电路的状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 10011 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程:10Y Q Q = 状态图:功能:同步三进制计数器 五、 试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D 触发器,用状态000到100构成五进制计数器。

(1)状态转换图 (2)状态真值表 (3)求状态方程 (4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。

解:触发器的驱动方程 2 10210 102 11 J Q K J Q J Q Q K Q K = = == ??? ??? == ? ? ? 触发器的状态方程 1 20 1 10 101 1 2 210 n n n Q Q Q Q Q Q Q Q Q Q Q Q + + + = =+ = ? ?? ? ? ?? 输出方程 2 Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。

数电课后习题

1、 什么是有权码、无权码格雷码是否是有权码格雷码有什么特点BCD 代码代表的信息 是什么 2、 ◤写出四位二进制码与格雷码的变换关系将二进制数(1011100)2转换成典型的格 雷码为 。 3、 有一数码,作为自然二进制数时,它相当于十进制数 ,作为8421BCD 码时, 它相当于十进制数 。 4、 ()()()21016 10110______==; 108421BCD (56)( ) =; 2421BCD 10(10110011)(____)= 5、 ()()()108421369____________________BCD BCD ==余 6、 ()()()()102816131.5625____________________________________________=== 7、 ()()()310842*********.1001______________________________BCD BCD ==余 8、 余3BCD 码1100所对应的十进制数是多少 ( ) 9、 与二进制数 2 对应等值的16进制数是:( )16 10、 与十进制数10 对应等值的二进制数是多少(精度要求小数点后取3位)为: ( ) 11、 将十进制小数转换成二进制小数,要求截断误差不大于,则这个二进制小数为 12、 2006个1连续进行异或运算的结果是 。 13、 逻辑代数中三个规则的重要名称是: 、 、 。 14、 已知某函数()() D C AB D C A B F +++= ,该函数的对偶函数 F*= 。 15、 求函数F 的反演和对偶式.[()]F A B BC CD AD E =++ 16、 求函数F [()]F A B BC CD AD E =++的反演和对偶式 17、 直接写出()=+++?+F ABC BCD AB C B D 的反函数及对偶函数表达式(不必化 简)。 * F F ==

数电课后答案

《时序逻辑电路》练习题 [5.1] 分析图P5.8的计数器电路,说明这是多少进制的计数器。十进制计数器74160的功能表见表5.3.4。 [5.2] 分析图P5.9的计数器电路,画出电路的状态转换图,说明这是多少进制的计数器。十六进制计数器74LS161的功能表如表5.3.4所示。 [5.11]试分析图P5.11的计数器在M=1和M=0时各为几进制。74LS160的功能表同上题。 [5.12]图P5.12电路是可变进制计数器。试分析当控制变量A为1和0时电路各为几进制计数器。74LS161的功能表见题5.10。 [5.13]设计一个可控制进制的计数器,当输入控制变量M=0时工作在五进制,M=1

时工作在十五进制。请标出计数输入端和进位输出端。 [解] 见图A5.13。 [5.15]试分析图P5.15计数器电路的分频比(即Y与CP的频率之比)。74LS161的功能表见题5.10。 [解] 利用与上题同样的分析方法,可得74LS161(1)和74LS161(2)的状态转换图如图A5.15(a)、(b)所示。可见,74LS 161(1)为七进制计数器,且每当电路状态由1001~1111时,给74LS 161(2)一个计数脉冲。74LS 161(2)为九进制计数器,计数状态由0111~1111循环。整个电路为63进制计数器,分频比为1:63。 [5.16] 图P5.16电路是由两片同步十进制计数器74160组成的计数器,试分析这是多少进制的计数器,两片之间是几进制。74160的功能表见题5.10。 [解] 第(1)片74160接成十进制计数器,第(2)片74160接成了三进制计数器。第(1)片到第(2)片之间为十进制,两片中串联组成71~90的二十进制计数器。

数电--数电习题答案

第1章习题答案 1-1.按照集成度分类,试分析以下集成器件属于哪种集成度器件:(1)触发器;(2)中央处理器;(3)大型存储器;(4)单片计算机;(5)多功能专用集成电路;(6)计数器;(7)可编程逻辑器件。 解:(1)小规模;(2)大规模;(3)超大规模;(4)超大规模;(5)甚大规模;(6)中规模;(7)甚大规模。 1-2.将下列十进制数转换为二进制数、八进制数和十六进制数。 (1)45(2)78(3)25.125 (4)34.25 (5)65 (6)126 解:(1)(45)10=(101101)2=(55)8=(2D)16(2) (78)10=(1111000)2=(170)8=(78)16(3) (25.125)10=(11001.001)2=(170.1)8=(78.2)16(4) (34.25)10=(100010.01)2=(42.2)8=(22.4)16(5) (65)10=(1100101)2=(145)8=(65)16(6) (126)10=(1111110)2=(176)8=(7E)16 1-3.将下列十六进制数转换为二进制数和十进制数。解:(1)(49)16=(1001001)2=(73)10(2)(68)16=(1101000)2=(104)10(3)(22.125)16=(1100101)2=(145)10(4)

(54.25)16=(1010100.00100101)2=(84.14453125)10(5)(35)16=(110101)2=(53)10(6)(124)16=(100100100)2=(292)10 1-4.将下列八进制数转换为二进制数和十进制数。 解:(1)(27)8=(010111)2=(23)10(2)(56)8=(101110)2=(46)10(3)(12.34)8=(1010.011100)2=(10.4375)10(4)(74.25)8=(111100.010101)2=(84.328125)10(5)(35)8=(11101)2=(29)10(6)(124)8=(1010100)2=(84)10 1-5.将下列二进制数转换为十六进制数、八进制和十进制数。 解:(1)(1110001)2=(71)16=(161)8=(113)10(2)(10101.001)2=(15.2)16=(25.1)8=(21.125)10(3)(10111.1101)2=(17.D)16=(27.64)8=(23.8125)10(4)(10001)2=(11)16=(21)8=(17)10(5)(1010101)2=(55)16=(125)8=(85)10 1-6.试求出下列8421BCD码对应的十进制数。 解:(1)(111001)8421BCD=(39)10(2)(1001.0010)8421BCD=(9.2)10(3)(10111.1000)8421BCD=(17.8)10(4)(100001)8421BCD=(21)10(5)(1010101.00100111)8421BCD=(55.27)10 1-7.试求出下列5421BCD码对应的十进制数。 解:(1)(111001)5421BCD=(36)10(2)(1000.0011)5421BCD=(5.3)10(3)(10100.1100)5421BCD=(14.9)10(4)

精选-数电试卷和答案

电子线路分析与实践2期末复习辅导 2010年10月 练习题 一、填空题 1.(11011)2 =(________)10 2.8421BCD 码的1000相当于十进制的数值 。 3.格雷码特点是任意两个相邻的代码中有_______位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的_________互换,_________互换,_________互换,就得到F 的反函数F 。 5.二极管的单向导电性是外加正向电压时 ,外加反向电压时 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 和 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 。 10. 输出n 位代码的二进制编码器,一般有 __________个输入信号端。 11.全加器是指能实现两个加数和____________三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 。 14.时序逻辑电路中,按照触发器的状态是否同时发生变化可分为 和 。 15.JK 触发器当J =K =________时,触发器Q n+1=Q n 。 16.用555定时器构成的多谐振荡器,若充放电回路中有电阻、电容,则该多谐振荡器形成的脉冲周期T ___0.7(R1+2R2)C ____。 17.A/D 转换需要经过 采样 、 保持 、 量化 和 编码 四个步骤。 18.根据D/A 转换器分辨率计算方法,4位D/A 转换器的分辨率为 6.7% 。 19.DAC 的转换精度包括 分辨率 和 转换误差 。 20.为使采样输出信号不失真地代表输入模拟信号,采样频率f s 和输入模拟信号的最高频率f i max 的关系是 。 21.在A/D 转换时,将一个时间上连续变化的模拟量转换为时间上离散的模拟量的过程称采样。 22.在A/D 转换中,用二进制码表示指定离散电平的过程称为 量化 。 23.CPLD 的含义是 。 二、选择题 1. 十进制数85转换为二进制数为( ) A .1001011 B .1010011 C .1100101 D .1010101 2. 二进制数11011转换为十进制数为( ) A .32 B .27 C .64 D .128 4. 8421BCD 码110011.001表示十进制为( ) A .33.2 B .51.0125 C .63.2 D .51.2 5.在下列一组数中,与2)111001(相等的数是( ) A .16)34( B .(65)8 C . 10 )57(

数字电子技术课后题答案

第1单元能力训练检测题(共100分,120分钟) 一、填空题:(每空分,共20分) 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题(每小题1分,共10分) 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。(对) 3、8421BCD码、2421BCD码和余3码都属于有权码。(错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。(对)

阎石数字电路课后答案第一章习题答案

第一章 二进制到十六进制、十进制 (1)()2=(97)16=(151)10 (2)(1101101)2=(6D)16=(109)10 (3)2=16=(0.)10 (4)2=16=10 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (2)(127)10=(1111111)2=(7F)16 16 21016210)3.19()1010 1(11001.101(25.7)(4))A D7030.6()0101 0000 0111 1101 0110 (0.0110(0.39)(3) B 用公式化简逻辑函数 (1)Y=A+B (3)Y=1 ) =+(解:1A A 1)2( C B A C C B A C B Y C B A C B A Y AD C C B AD C B C B AD D C A AB D CD B A Y )()(Y )4(解: (5)Y=0 (7)Y=A+CD E ABCD E C ABCD CE AD B BC CE AD B BC Y CE AD B BC B A D C AC Y )()()() ()()6(解: C B A C B C B A A C B A C B A C B A C B C B A A C B A C B A C B A Y C B A C B A C B A Y )() )(())()(() )()((8解:)( D A D A C B Y )9( E BD E D B F E A AD AC Y )10( (a) C B C B A Y (b) C B A ABC Y (c) ACD D C A D C A B A Y D AC B A Y 21, (d) C B A ABC C B A C B A Y BC AC AB Y 21, 1.10 求下列函数的反函数并化简为最简与或式 (1)C B C A Y (2)D C A Y C B C B AC C B AC B A BC AC C A B A BC AC C A B A Y BC AC C A B A Y ))((]))([())(())(()3(解: (4)C B A Y D C AB D C B D C A D C B D A C A C D C B C A D A Y C D C B C A D A Y )() )(())()(()5(解: (6)0 Y 1.11 将函数化简为最小项之和的形式 C B A C B A ABC BC A C B A C B A C B A ABC BC A C B A A C B B A BC A C B AC BC A Y C B AC BC A Y )()()1(解: D C B A CD B A D C B A ABCD BCD A D C B A Y )(2

数电各章复习题及答案

第1章逻辑代数基础 一、选择题(多选题) 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 2.一位十六进制数可以用位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 4.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 5.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 6.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 7.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 8. 逻辑变量的取值1和0可以表示:。 A.开关的闭合、断开 B.电位的高、低 C.真与假 D.电流的有、无 9.求一个逻辑函数F的对偶式,可将F中的。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” E.常数不变 10. A+BC= 。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 11.在何种输入情况下,“与非”运算的结果是逻辑0。 A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 12.在何种输入情况下,“或非”运算的结果是逻辑0。 A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 13.以下表达式中符合逻辑运算法则的是。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 14. 当逻辑函数有n个变量时,共有个变量取值组合? A. n B. 2n C. n2 D. 2n 15. 逻辑函数的表示方法中具有唯一性的是。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 16.F=A B+BD+CDE+A D= 。

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

相关文档