文档库 最新最全的文档下载
当前位置:文档库 › 简易数字频率计设计(基于单片机)

简易数字频率计设计(基于单片机)

简易数字频率计设计(基于单片机)
简易数字频率计设计(基于单片机)

楚雄师范学院

本科生毕业论文

题目:简易数字频率计设的计

系(院): xxx

专业:电子信息科学与技术(非师范)

学号: 20081042110 学生姓名: xxx

指导教师: xxx 职称:副教授

论文字数: 8206 完成日期: 2012 年 5 月

教务处印制

楚雄师范学院电子信息科学与技术(非师范)本科毕业论文楚雄师范学院物电系毕业论文原创性声明

本人郑重声明:呈交的毕业论文“简易数字频率计的设计”。是本人在xxx老师的指导下进行研究工作所取得的成果。除了文中已经引用的内容外,本论文不含其他个人或集体已经发表或撰写过的研究成果。对本论文的研究做出帮助的个人和集体,均已在论文中作了明确的说明并表示了谢意。

本声明的法律结果由本人承担。

毕业论文作者签名:xxx

日期:2012年5 月19 日

目录

摘要................................................................................ I 关键词语............................................................................ I Abstract........................................................................... II Key words.......................................................................... II 前言.. (1)

第一章频率计设计 (1)

1.1频率计概要 (1)

1.2发展动态 (1)

1.3设计任务 (2)

第二章系统模块设计 (2)

2.1整体设计 (2)

2.2测频思路 (3)

2.3模块分析 (3)

第三章硬件设计 (4)

3.1主控模块 (4)

3.2放大整形电路 (5)

3.3分频设计 (6)

3.4驱动显示 (8)

第四章软件设计 (10)

4.1模块设计 (10)

4.2中断服务 (11)

4.3显示实现过程 (12)

4.4量程转换 (12)

4.5软件概述 (13)

第五章系统调试 (14)

5.1硬件调试 (14)

5.2软件调试 (16)

5.3系统调试 (16)

5.4误差分析 (17)

第六章总结 (17)

参考资料 (18)

致谢 (19)

附录 (20)

简易数字频率计的设计

摘要:频率计作为一种基础测量仪器。它主要由信号输入、放大整形、分频、单片机控制模块、驱动显示电路等组成。本设计以STC80C51单片机作为控制核心,使用它内部的定时/计数器,实现对待测信号的频率的测量。设计过程中,频率计采用外部10分频,以便测量1Hz~1MHz的信号频率,并且实现量程自动切换。显示部分用74LS245驱动,使用四位共阳极数码管显示数据。本设计采用单片机技术,使得设计具有很高的性价比和可靠性,改善了传统频率计的不足,它具有测量精度高、测量省时、价格便宜、使用方便等优点。

关键词语:单片机;频率计;驱动显示;放大整形;量程切换

The design of simple Frequency Measurement Abstract: The frequency meter as a basic measuring instrument. It mainly consists of signal input, plastic

surgery to enlarge, points and single-chip microcomputer control module, frequency driver display circuit etc. This design to STC80C51 single chip microcomputer as control core, use it internal timing/counter, realize the treat the frequency of the signal measurement. Design process, the frequency meter using external 10 points frequency, for measuring 1 Hz ~ 1MHz signal frequency, and realize the range to switch. Display with 74 LS245 part drive, use a total of four anode digital tube display the data. This design USES the single chip microcomputer, make design with good value for money and the reliability, improve the frequency of the shortcomings of the traditional project, it has high accuracy of measurement, high measuring time, cheap, easy to use, etc.

Key words: Single chip microcomputer; The frequency meter; Drive display; Enlarge plastic circuit; Switch range

前言

在电子技术中,频率作为基本的参数之一,它与许多电参量的测量方案、测量结果密切相关,因此,频率的测量十分的重要。在许多情况下,要对信号的频率进行精确测量,就要用到数字频率计。数字频率计作为一种基础测量仪器,它具有测量精度高、测量省时、使用方便等特点。使得基于单片机的数字频率计得到广泛的应用。

第一章频率计设计

1.1频率计概要

在电子技术中,频率作为基本的参数之一,它与许多电参量的测量方案、测量结果密切相关,因此,频率的测量十分的重要。在许多情况下,要对信号的频率进行精确测量,就要用到数字频率计。数字频率计作为一种基础测量仪器,它被用来测量信号(方波、正弦波、锯齿波等)频率,并且用十进制显示测量结果。它具有测量精度高、测量省时、使用方便等特点。随着微电子技术和计算机技术的不断发展,单片机被广泛应用到大规模集成电路中,使得设计具有很高的性价比和可靠性。所以,以单片机为核心的简易数字频率计设计,改善了传统的频率计的不足,充分体现了新一代数字频率计的优越性。

1.2发展动态

在国内,单片机已普遍的应用电子系统的中,其中,以C语言为编程基础,结合单片机典型模块的设计已经开发出了许多应用系统,,如单片机的串口通信、定时/计数器、看门狗、中断、矩阵键盘输入、ADC、DAC、红外遥控接收、电动机控制、LED显示器等。由于单片机的功能强、体积小、功耗低、价格便宜、工作可靠、使用方便等优点,使得基于单片机的数字频率计得到广泛的应用。现在国际国内对这类设计的开发与研究具有实用性,借助软件程序控制实现,使得频率计的硬件结构简单,具有良好的性价比和可靠性。同时,该设计又在不断地深入与发展,以适应更高进度的要求。

1.3设计任务

1.3.1任务

设计一个以单片机为主要控制模块的简易数字频率计。

1.3.2设计要求

(1)基本要求

实现对周期信号的频率进行测量。

测量范围:

信号:三角波、正弦波、方波;

幅度:0.5V ~5V ;

频率:1Hz ~1MHz ;

测量误差≤0.1%。

(2)驱动显示部分

用74LS245驱动4位共阳极数码管,以十进制数字显示测量的数据。电源用电池代替。

第二章 系统模块设计

2.1整体设计

在单位时间内对待测信号进行计数,计数值作为信号频率显示在数码管上。本设计用单片机STC80C51制作简易数字频率计,高频段采用外部10分频,低频段直接用单片机计数,实现对1HZ-1MHZ 范围的频率测量。显示部分用74LS245驱动四位共阳极数码管,显示测量出来的频率结果。可以测量正弦波、三角波及方波的频率值。

设计的原理框图如图2.1所示。

图2.1 总体设计框图 脉冲

形成电路 闸门控制 74LS151 分频电路 74LS161

显示

四位数码驱动器 74LS245

主控电路 80C51 fx

2.2测频思路

频率是周期信号在单位时间1s内变化的次数。当待测周期信号fx通过放大电路放大后,进入整形电路整形转变为矩形波,送入分频电路对信号进行分频,测量预置定的被测信号周期中标准信号的周期个数,从而测量出信号频率的大小。测量原理图如图2.2所示。

T

T

0……….

图2.2 测量原理图

如图所示,当被测信号的周期在时间T内重复变化了N次时,所测信号频率为fx=N/T。

2.3模块分析

频率计系统设计包括:放大整形、分频控制、单片机控制、驱动显示等四个模块组成。各模块如下:

放大整形模块:待测信号通过放大电路的放大,降低了系统对待测信号幅度的要求。整形电路非方波信号转化成方波信号,满足测量的要求。

分频控制模块:单片机使用12MHz时钟,最大计数速率为500kHz,因此设置了外部分频,扩展单片机的测频范围,使得单片机测频时信号统一,更易于实现,同时降低系统误差。在本次设计中使用74LS161进行外部10分频。

单片机控制模块:以STC80C51单片机为控制核心,来完成对待测周期信号的计数,译码和驱动显示以及对分频电路数据选择的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。通过编程,使单片机内部的定时/计数器的正常工作,以便系统对待测信号测频时,实现定时、计数。

驱动显示模块:用一片74LS245驱动四位共阳极数码管动态显示。

综上所述,频率计的模块设计分析框图如图2.3所示。

图2.3 频率计模块设计分析框图

第三章 硬件设计

根据系统设计的要求,频率计硬件系统主要包括以下几个部分:

3.1主控模块

主控模块由单片机STC80C51组成,通过在Keil 上编程、调试,然后下载到单片机中控制系统模块的运行。

3.1.1 STC80C51引脚分配

STC80C51引脚分配如表3-1所示。

表3-1 STC80C51引脚分配 XTAL1 XTAL2

外接12MHz 晶振 RST

接复位电路 P1.2 P1.3

分频控制端 P0.0-P0.7

输出段码显示 P2.0-P2.3

控制位码输出端 P3.5

频率信号输入

P0口:P0口作为输出口,每一位与74LS245对应相连接,驱动数码管各段来显示数据。 P1口:对P1口写“1”,内部上拉电阻把端口拉高,作为输入口使用。以P1.2口作为分频控制输入。

P2口:对P2口写“0”,作为输出口使用。以P2.0-P2.3为输出端口,控制四位数码管的每一位是否点亮。 待测信号 放大电路 波形变换 数选

分频

单片机控制 驱动 显示

P3口:对P3口写“1”,内部上拉电阻把端口拉高,作为输入口使用,在P3.5 T1(定时/计数器1)端口输入频率信号。

RST:复位输入。

EA/VPP:外部访问允许端口,在此EA端接地,使CPU仅访问外部程序存储器(地址为0000H-FFFFH)。

XTAL1:振荡器反相放大器和内部时钟发生电路的输入端。

XTAL2:振荡器反相放大器的输出端。

3.1.2 STC80C51组成的最小系统如图3.1所示。

图3.1 STC80C51组成的最小系统

3.2放大整形电路

为了降低对待测信号特征的限制,在输入级特别设置了放大整形电路,以增强频率计的适用范围。待测信号可以是正弦波、三角波、方波等,在经过整形后,待测信号被转化成矩形波。由于待测信号的强弱未知,所以,在整形之前通过放大衰减处理使得输入信号满足测量的要求。如图3.2(a)、(b)所示。

在电路放大整形过程中,采用晶体管IRFR9014来组成放大器,对输入的周期信号(可以是正弦波、三角波及方波)进行放大,输入的周期信号频率为fx。同时,使用74LS00与非门来构成施密特触发器,其作用是对经过IRFR9014组成的放大器放大输后出来的信号整

形,使它变换成矩形波。放大整形电路如图3.2(a)所示。

图3.2(a)信号放大电路图

图3.2(b)信号整形电路图

3.3分频设计

使用74LS161芯片进行外部分频处理,主要用于弥补单片对机频率测量范围的不足,不但可以使单片机测量频率是容易实现,而且还降低系统在测频过程中带来的误差。

3.3.1分频

74LS161作为4位二进制同步计数器,它的最大计数值为16,在此,为了实现外部10分频,使用了一片74LS161芯片∑一个与非门来进行连接。如图3.3所示。

图3.3 分频电路图

使用一片74LS161芯片和一个与非门来进行连接。时钟脉冲cp从CLK输入,计数器U1输出端Q3与Q1经过与非门U2.A连接,控制U1是否计数。若计数,计数值从U1计数器的Q3端输出,接在U7的数据输入端X6,以供数据选择。电路U1实现十进制计数,当U1计数到9(1001)时,U1的Q3输出为1,当下一个时钟脉冲CLK上升源到来时,U1计数值归零。

74LS161作为4位二进制同步计数器,它具有同步并行预置数,清零,计数,保持等功能,进位输出端还可以接其他计数器级联使用。

时钟CLK,数据输入端D0-D3,清零/MR,使能端ENT、ENP,置数/LOAD,数据输出端Q0-Q3,进位输出RCO,RCO=Q0*Q1*Q2*Q3*ENT。74LS161功能如表3-1所示。

表3-1 74LS161功能表

输入端输出端

/ MR

/LO

AD

E

NP

E

NP

C

LK

D

3

D

2

D

1

D

Q

3

Q

2

Q

1

Q

0 ××××××××0 0 0 0

1 0 ××↑ d c b a d c b a

1 1 0 ×↑××××保持

1 1 0 ↑××××保持

1 1 1 1 ↑××××计数加1 3.3.2数选

选用74HC151芯片对输入频率进行数据选择,它作为一种八选一芯片,有八个数据输入

端X0-X7,三个地址输入端A、B、C,一个选通输入端/E,两个互补输出Y、/Y。74HC151功能如表3-2所示。

表3-2 74HC151功能表

输入端输出端

/E C B A Y /Y

H ×××L H

L L L L x0 /x0

L L L H x1 /x1

L L H L x2 /x2

L L H H x3 /x3

L H L L x4 /x4

L H L H x5 /x5

L H H L x6 /x6

L H H H x7 /x7 表3-2所示,选通输入端/E=0,地址输入端B=C=1,由A的状态来选择x6、x7中的一个数据,并在Y端输出被选择的数据。

3.4驱动显示

显示部分由STC80C51的P0口输出,为了防止P0口的负载超出最大负载能力,在P0口接上8路同相三态双向总线收发器74LS245芯片,来驱动LED数码管显示数据。如图3.4.1所示。

图3.4.1 显示模块图

片选端/CE低电平有效,保证数据畅通,DIR=0,信号由B向A传输;P0口与74LS245

输入端相连,74LS245输入(即P0.i←Ai)。

显示时使用四位共阳极数码显示数据,常用的每位LED显示器由八个发光二极管组成,通常叫做8段数码管,即a、b、c、d、e、f、g、h、dp共八个字段,其中,dp表示显示器上的一个圆点。字段及引脚分配如下[6]:

图3.4.2 四位数码管图3.4.3 共阳极数码管

数码管显示代码如表所示:

字符dpgfedcba共阳段码共阴段码

011000000C0H3FH

111111001F9H06H

210100100A4H5BH

310110000B0H4FH

41001100199H66H

51001001092H6DH

61100001082H7DH

711111000F8H07H

81000000080H7FH

91001000090H6FH

A1000100088H77H

B1000001183H7CH

C11000110C6H39H

D10100001A1H5EH

E1000011086H79H

F100011108EH71H

第四章软件设计

软件部分采用模块化设计,绘制出总体及各个模块的程序流程框图,主要运用Keil和Protues软件,实现对系统功能的测试与仿真。

4.1模块设计

软件部分采用模块化设计,它由初始化模块,频率测量模块和显示电路模块等组成。软件设计流程框图如图4.1所示。

开始

初始化

测频

Y

大于1Hz?

N

10分频

计数

显示

结束

图4.1 软件设计流程框图

a、启动对待测信号的计数,此时定时/计数器的计数器清0,TR置1;

b、用延时程序实现闸门计数,闸门计数结束时把TR清0,停止计数;

c、计数寄存器中的数值从十六进制转换为十进制。若该数的最高位为0,闸门计数宽度扩大10倍,重计数,直到满足测量要求为止。否则,若该位不为0,测量值直接送入显示模块;

d、16位定时/计数器的计数值最高为65535,若待测信号的频率较低,则直接计数,否则,进行硬件十分频后再计数。

4.2中断服务

测频时T0工作在定时方式,用来产生标准时基秒信号,定时为50ms,每中断20次为1秒,在本设计中,T0用作计数器对待测信号计数,当每秒开始时,启动计数器T0,当每秒结束时,暂停计数器T0,则待测信号的频率就等于T0的计数值乘以分频系数。

T1工作在计数方式,对信号计数。

4.3显示实现过程

显示由4位LED共阳极数码管,通过快速扫描方式将8位段码连接在单片机的P0口上,4位位码接P2口的低四位,P2.0-P2.3逐位轮流点由亮各个数码管,每位保持一定的延时,重复点亮,这样看上去4位数码管是同时点亮的。数码管显示程序流程图如图4.2所示。

开始

分离数据

延时

送出显示

结果

图4.2数码管显示程序流程图

4.4量程转换

使用定时方法测量频率时,判定高电平是否加至输入脚P3.5口。当高电平加至P3.5口时,把TR置1,启动定时/计数器开始计数,若方波高电平结束时,把TR清0,停止计数,此时测量数据从计数寄存器读出,由显示电路显示。对测量结果加以判断后,自动切换档位,档自动转换流程图如图4.3所示。

开始

测量频率

Y

小于1KHz?

N

调档

N

小于1MHz?

Y

显示频率值

结束

图4.3档自动转换流程图

4.5软件概述

本设计运用Keil软件平台实现编程和调试,在Proteus软件平台上完成仿真。因此介绍Keil和Proteus连接使用,进行软件仿真。

4.5.1 Keil软件

Keil软件作为单片机的开发软件,它提供仿真调试器、连接器、C编译器、宏汇编、库管理等,这些部份在uVision(集成开发环境)下组合在一起。它能仿真单片机CPU及单片机外围电路的工作情况。仿真调试程序时,从工程的角度来观察过程和结果。Keil软件在使用时,进行以下步骤:

a、建立工程文件

先新建一个文件,命名后,在Keil运用窗口点击“Project->New project”,在弹出对对话框命名建立的工程,点击“保存”按钮,出现下一个对话框,选择目标器件。建立新文件并增加到组。设置“target1”中的“Target,output,debug”各项,使程序汇编后产生HEX文件。

b、编程,调试

在Keil集成开发环境中选择“File→New”,完成源文件的输入,然后选择“File→Save As”完成文件首次存储。若对源文件又进行修改,再次存储文件选择“File→Save”实现文件的保存,把源文件加入工程中。

选择工程管理器窗口中的“Source Group1”子目录,单击鼠标右键,在快捷菜单中选择“Add File t o Group‘Source Group1’”,在“文件类型(T)”下拉列表框选择“Asm Source file”,选择要加入的文件名双击即可在“Source Group1”子目录下添加源文件。对源程序进行编译,出错时更改后重新编译,直到无错为止。

4.5.2 Protues软件

Protues是一种电路分析、实物仿真系统,它由Labcenter公司出品。Protues和KEIL 编译软件结合实现对实物对仿真。它提供RAM,ROM,键盘,LED,LCD等元件库,使用极其方便,只要画出电路图就可以实现仿真。

4.5.3 Keil和Protues集成使用

安装好Keil和Protues个软件后,把C:\Program Files\Labcenter Eletronics\Protues6 Professional\MODELS\VDM51.DLL件复制到C:KEIL\C51\BIN目录下面,这个文件在设置Keil 的Debug时用到。

打开Protues新建文件,绘制硬件原理图。

将Keil生成的Hex文件下载到单片机中进行仿真。

利用Protues与Keil进行实验,硬件投入少、经济,弥补实验仪器和元件带来的不足,同时排除了材料消耗和仪器损坏。

第五章系统调试

频率计的系统调试分为软件调试和硬件调试两部分。硬件调试是排除设计电路的设计错误,使得系统满足设计的需要。软件调试就是通过Keil和Protues集成使用,进行仿真与调试,若发现错误,通过不断修改设计程序,使频率计的测量功能更加完善。

5.1硬件调试

在系统硬件调试时,若测量频率小于1KHz,数码管以最右边为低位开始显示频率值。若测量频率在1KHz至1MHz之间时,数码管从右边数第二个发光二极管亮,显示频率值。若

测量频率大于1KHz,数码管显示频率值为0。硬件测试结果如图5.1所示。

当输入1Hz时,显示如图5.1(a);当输入800Hz时,显示如图5.1(b);

(a)(b)

当输入14.58kHz时,显示如图5.1(c);当输入704kHz时,显示如图5.1(d);

(b)(d)

当输入6MHz时,显示如图5.1(e)。

(e)

图5.1 硬件调试频率显示

经测试,基本实现功能,测出相应的波形频率,并可以实现量程自动切换,符合设计要求。

简易数字频率计

4.2.3简易数字频率计电路设计 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 一、设计目的 1. 了解数字频率计测量频率与测量周期的基本原理; 2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。 二、设计任务与要求 要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为: 1.测量范围:1HZ—9.999KHZ,闸门时间1s; 10 HZ—99.99KHZ,闸门时间0.1s; 100 HZ—999.9KHZ,闸门时间10ms; 1 KHZ—9999KHZ,闸门时间1ms; 2.显示方式:四位十进制数 3. 当被测信号的频率超出测量范围时,报警. 三、数字频率计基本原理及电路设计 所谓频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构如图4-2-6:

图4-2-6数字频率计原理图 从原理图可知,被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.放大整形电路 放大整形电路可以采用晶体管 3DGl00和74LS00,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 2.时基电路 时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。 (1)555多谐振荡电路产生时基脉冲 采用555产生1000HZ振荡脉冲的参考电路如图4-2-7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。 (2)分频电路 由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555振荡器产生1000HZ,周期为1ms的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用74LS90分别经过一级、二级、三级10分频得到。 图4-2-7 555多谐振荡电路 3. 逻辑控制电路 在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。脉冲信号Ⅳ和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得一负脉冲,其波形关系正好满足Ⅳ和V的要求。手动复位开关S按下时,计数器清“ 0 ”。参考电路如图4-2-8 图4-2-8数字频率计逻辑控制电路 4.锁存器 锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能.当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器

单片机简易频率计课程设计

前言 (3) 一、总体设计 (4) 二、硬件设计 (6) AT89C51单片机及其引脚说明: (6) 显示原理 (8) 技术参数 (10) 电参数表 (10) 时序特性表 (11) 模块引脚功能表 (12) 三、软件设计 (12) 四、调试说明 (15) 五、使用说明 (17) 结论 (17) 参考文献 (18)

附录 (19) Ⅰ、系统电路图 (19) Ⅱ、程序清单 (20)

前言 单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。因此,单片机的学习、开发与应用在生活中至关重要。 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行缓慢,而且测量频率的范围比较小.考虑到上述问题,本论文设计一个基于单片机技术的数字频率计。首先,我们把待测信号经过放大整形;然后把信号送入单片机的定时计数器里进行计数,获得频率值;最后把测得的频率数值送入显示电路里进行显示。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件,并对硬件电路进行了仿真。

一、总体设计 用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量. 所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率f x。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s.闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 本系统采用测量频率法,可将频率脉冲直接连接到AT89C51的T0端,将T/C1用做定时器。T/C0用做计数器。在T/C1定时的时间里,对频率脉冲进行计数。在1S定时内所计脉冲数即是该脉冲的频率。见图1: 图1测量时序图 由于T0并不与T1同步,并且有可能造成脉冲丢失,所以对计数器T0做一定的延时,以矫正误差。具体延时时间根据具体实验确定。 根据频率的定义,频率是单位时间内信号波的个数,因此采用上述各种方案

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

单片机课程设计报告——智能数字频率计汇总

单片机原理课程设计报告题目:智能数字频率计设计 专业:信息工程 班级:信息111 学号:*** 姓名:*** 指导教师:*** 北京工商大学计算机与信息工程学院

1、设计目的 (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用: 包括放大器、滤波器、比较器、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 2、设计要求 (1)基本要求 设计指标: 1.频率测量:0~250KHz; 2.周期测量:4mS~10S; 3.闸门时间:0.1S,1S; 4.测量分辨率:5位/0.1S,6位/1S; 5.用图形液晶显示状态、单位等。 充分利用单片机软、硬件资源,在其控制和管理下,完成数据的采集、处理和显示等工作,实现频率、周期的等精度测量方案。在方案设计中,要充分估计各种误差的影响,以获得较高的测量精度。 (2)扩展要求 用语音装置来实现频率、周期报数。 (3)误差测试 调试无误后,可用数字示波器与其进行比对,记录测量结果,进行误差分析。 (4)实际完成的要求及效果 1.测量范围:0.1Hz~4MHz,周期、频率测量可调; 2.闸门时间:0.05s~10s可调; 3.测量分辨率:5位/0.01S,6位/0.1S; 4.用图形液晶显示状态、单位(Hz/KHz/MHz)等。 3、硬件电路设计 (1)总体设计思路

本次设计的智能数字频率计可测量矩形波、锯齿波、三角波、方波等信号的频率。系统共设计包括五大模块: 主芯片控制模块、整形模块、分频模块、档位选择模块、和显示模块。设计的总的思想是以AT89S52单片机为核心,将被测信号送到以LM324N为核心的过零比较器,被测信号转化为方波信号,然后方波经过由74LS161构成的分频模块进行分频,再由74LS153构成的四选一选择电路控制档位,各部分的控制信号以及频率的测量主要由单片机计数及控制,最终将测得的信号频率经LCD1602显示。 各模块作用如下: 1.主芯片控制模块: 单片机AT89S52 内部具有2个16位定时/计数器T0、T1,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出时中断要求的功能。利用单片机的计数器和定时器的功能对被测信号进行计数。以AT89S52 单片机为控制核心,来完成对各种被测信号的精确计数、显示以及对分频比的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。 2.整形模块:整形电路是将一些不是方波的待测信号转化成方波信号,便于测量。本设计使用运放器LM324连接成过零比较器作为整形电路。 3.分频模块: 考虑单片机利用晶振计数,使用11.0592MHz 时钟时,最大计数速率将近500 kHz,因此需要外部分频。分频电路用于扩展单片机频率测量范围,并实现单片机频率测量使用统一信号,可使单片机测频更易于实现,而且也降低了系统的测频误差。本设计使用的分频芯片是74LS161实现4分频及16分频。 4.档位选择模块:控制74LS161不分频、4分频或者 16分频,控制芯片是74LS153。 5.显示模块:编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示,本设计选用LCD1602。 (2)测频基本设计原理 所谓“频率”,就是周期性信号在单位时间(1s)内变化 的次数。若在一定时间间隔T内测得这个周期性信号的重复变 化次数N,则其频率可表示为f=N/T(右图3-1所示)。其中脉 冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等 。利用单片机的定时/计数T0、T1的定时、计数 于被测频率f x 功能产生周期为1s的时间脉冲信号,则门控电路的输出信号持图3-1

高精度单片机频率计的设计

《综合课程设计》 一.数字频率计的设计 姓名:万咬春学号2005142135 一、课程设计的目的 通过本课程设计使学生进一步巩固光纤通信、单片机原理与技术的基本概念、基本理论、分析问题的基本方法;增强学生的软件编程实现能力和解决实际问题的能力,使学生能有效地将理论和实际紧密结合,拓展学生在工程实践方面的专业知识和相关技能。 二、课程设计的内容和要求 1.课程设计内容 (硬件类)频率测量仪的设计 2.课程设计要求 频率测量仪的设计 要求学生能够熟练地用单片机中定时/计数、中断等技术,针对周期性信号的特点,采用不同的算法,编程实现对信号频率的测量,将测量的结果显示在LCD 1602 上,并运用Proteus软件绘制电路原理图,进行仿真验证。 三.实验原理 可用两种方法测待测信号的频率 方法一:(定时1s测信号脉冲次数) 用一个定时计数器做定时中断,定时1s,另一定时计数器仅做计数器使用,初始化完毕后同时开启两个定时计数器,直到产生1s中断,产生1s中断后立即关闭T0和T1(起保护程序和数据的作用)取出计数器寄存器内的值就是1s内待测信号的下跳沿次数即待测信号的频率。用相关函数显示完毕后再开启T0和T1这样即可进入下一轮测量。 原理示意图如下:

实验原理分析: 1.根据该实验原理待测信号的频率不应该大于计数器的最大值65535,也就是说待测信号应小于65535Hz。 2.实验的误差应当是均与的与待测信号的频率无关。 方法二(测信号正半周期) 对于1:1占空比的方波,仅用一个定时计数器做计数器,外部中断引脚作待测信号输入口,置计数器为外部中断引脚控制(外部中断引脚为“1”切TRx=1计数器开始计数)。单片机初始化完毕后程序等待半个正半周期(以便准确打开TRx)打开TRx,这时只要INTx (外部中断引脚)为高电平计数器即不断计数,低电平则不计数,待信号从高电平后计数器终止计数,关闭TRx保护计数器寄存器的值,该值即为待测信号一个正半周期的单片机机器周期数,即可求出待测信号的周期:待测信号周期T=2*cnt/(12/fsoc) cnt为测得待测信号的一个正半周期机器周期数;fsoc为单片机的晶振。所以待测信号的频率f=1/T。 原理示意图如下: 实验原理分析: 1.根据该实验原理该方法只适用于1:1占空比的方波信号,要测非1:1占空比的方波信号 2.由于有执行f=1/(2*cnt/(12/fsoc))的浮点运算,而数据类型转换时未用LCD 浮点显示,故测得的频率将会被取整,如1234.893Hz理论显示为1234Hz,测 得结果会有一定程度的偏小。也就是说测量结果与信号频率的奇偶有一定关 系。 3.由于计数器的寄存器取值在1~65535之间,用该原理时,待测信号的频率小于单片机周期的1/12时,单片机方可较标准的测得待测信号的正半周期。故用 该原理测得信号的最高频率理论应为fsoc/12 如12MHZ的单片机为1MHz。 而最小频率为f=1/(2*65535/(12/fsoc))如12MHZ的单片机为8Hz。 四.实验内容及步骤 1. 仿真模型的构建 数字方波频率计的设计总体可分为两个模块。一是信号频率测量,二是将测得的频率数据显示在1602液晶显示模块上。因此可搭建单片机最小系统构建构建频率计的仿真模型。原理图,仿真模型的总原理图如下:

multisim简易数字频率计

. . . . 哈尔滨工业大学 简易频率计的仿真设计

目录 1.设计要求 2. 总电路图及工作原理 3.电路组成介绍 3.1脉冲形成电路 3.2闸门电路 3.3时基电路 3.4计数译码显示电路 4. 电路的测试 5. 分析与评价 附录:元器件清单 1.设计要求 本次设计任务是要求设计一个简易的数字频率计,即用数字显示被测信号频率的仪 2

器,数字频率计的设计指标有: 1. 测量信号:正弦信号、方波信号等周期变化的物理信号; 2. 测量频率范围:0Hz~9999Hz; 3. 显示方式:4位十进制数显示。 2.电路工作原理 频率计总电路图如下所示: 2

频率计的基本原理:通过将被测周期信号整形为同频率的方波信号后,利用555定时器组成的振荡电路所产生的频率为1Hz的标准方波,作为基准时钟,与被整形后的方波信号一起经过闸门电路处理输入计数电路,再利用74LS90N的十进制计数功能进行级联计数,计数后输入8位数据/地址锁存器74LS273N以实现锁存和清零功能,最后输入到译码显示电路中,用BCD7段译码器显示出来,这样就实现了对被测周期信号的频率测量并显示的功能。 频率计的工作原理流程图如下所示: 3.电路组成介绍 3.1脉冲形成电路 脉冲形成电路由信号发生器与整形电路组成,输入信号先经过限幅器,再经过施密特触发器整形,当输入信号幅度较小时,限幅器的二极管均截止,不起限副作用。由555组成的施密特触发器对经过限幅器的信号进行整形得到标准的方波信号。线路图如下所示: 2

3.2闸门电路 闸门电路的作用是控制计数器的输入脉冲,在电路中用一个与非门来实现(如下图所标注)。当标准信号(正脉冲)来到时闸门开通,被侧信号的脉冲通过闸门进入计时器计数;正脉冲结束时闸门关闭,计数器无时钟脉冲输入。 闸门电路 2

基于单片机的数字频率计设计

江阴职业技术学院 毕业论文 课题:基于单片机的数字频率计的设计 专业电子信息工程 学生姓名冯海洋 班级08电子信息工程(1)班 学号20080305107 指导教师张文洁 完成日期

目录 摘要?错误!未定义书签。 前言................................................................................................... 错误!未定义书签。第一章绪论............................................................................................... 错误!未定义书签。 1.1课题背景?错误!未定义书签。 1.2 课题研究的目的和意义 ................................................................. 错误!未定义书签。 1.4数字频率计设计的任务与要求?错误!未定义书签。 第二章数字频率计总体方案设计............................................................... 错误!未定义书签。 1.1方案比较 .......................................................................................... 错误!未定义书签。 1.2方案论证......................................................................................... 错误!未定义书签。 1.3方案选择......................................................................................... 错误!未定义书签。 第三章数字频率计的硬件系统设计........................................................... 错误!未定义书签。 3.1数字频率计的硬件系统框架...................................................... 错误!未定义书签。 3.2 数字频率计的主机电路设计?错误!未定义书签。 3.3数字频率计的信号输入电路设计................................................... 错误!未定义书签。 3.4数字频率计显示电路的设计 ........................................................... 错误!未定义书签。 3.5数字频率计的计数电路的设计?错误!未定义书签。 3.6数字频率计电源模块的设计?错误!未定义书签。 第四章数字频率计软件系统设计?错误!未定义书签。 4.1 软件设计规划................................................................................. 错误!未定义书签。 4.1.1信号处理............................................................................ 错误!未定义书签。 4.1.2中断控制................................................................................. 错误!未定义书签。 4.2.1定时器/计数器?错误!未定义书签。 4.2.2定时工作方式0..................................................................... 错误!未定义书签。 4.3程序流程图设计................................................................................ 错误!未定义书签。

数字频率计的设计

长安大学 电子技术课程设计 数字频率计的设计 专业: 班级: 姓名 指导教师: 日期:

目录 引言 第一章系统概述 一、设计方案的选择 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 附录

引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1) 计数值N1 被测信号 标准闸门 T 图 1-1-1 测频法测量原理 设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:δf1=(f1-f)/f=1/f 从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

单片机数字频率计设计

目录 第一章摘要 (2) 第二章系统总体方案设计 (2) 2.1 总体思路设计 (2) 2.2 测频原理 (3) 第三章系统硬件设计 (4) 3.1 AT89S51单片机引脚的介绍 (4) 3.2 锁存器74HC573引脚的介绍 (6) 3.3 译码器74HC138引脚介绍 (7) 3.4 放大整形模块 (7) 3.5 显示模块设计 (8) 3.6 键盘电路设计 (9) 3.7 复位电路和时钟产生电路设计 (10) 3.8 +5V电源设计 (11) 3.9 系统整体原理图 (13) 第四章系统软件设计 (13) 4.1 主程序流程图 (13) 4.2子程序流程图 (14) 4.2.1中断服务子程序 (14) 4.2.2 显示子程序设计 (15) 4.2.3量程转换程序 (16) 第五章设计总结与心得体会 (17) 参考文献 (19) 附录 (20) 1、源程序 (20) 2、硬件电器总原理图 (25)

第一章摘要 在单片机技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率计的测量就显得更为重要,测量频率的方法有多种,其中基于单片机的数字频率计时器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。本次课程设计以AT89S51单片机为控制核心,应用AT89S51单片机、单片机的I/O端口外扩驱动器74HC573和74HC138、LED动态显示等实现对外部信号频率进行准确计数的设计。电路图设计使用protel绘图软件完成,软件设计方面使用单片机汇编或C语言对各个模块进行编程,最后通过综合测试,实现满足要求的设计方案。频率测量有两种方法:一是直接测频法,即在一定时间内测量被测信号的个数;而是测周法。直接测频法适用于高频信号的频率测量,测周法适用于低频信号的频率测量。 关键词:单片机;频率计;测量 第二章系统总体方案设计 设计要求: 使用单片机的定时器/计数器功能,设计频率测量装置。 (1)直接采用AT89S51单片机的I/O端口外扩驱动器,实现LED动态扫描驱动。(2)采用6位数码管显示输入单片机的外部脉冲频率。 (3)当被测频率fx<100Hz时,采用测周法,显示频率XXX.XXX;当被测频率fx>100Hz 时,采用测频法,显示频率XXXXXX。 (4)利用键盘分段测量和自动分段测量。 (5)完成单脉冲测量,输入脉冲宽度范围是100μs-0.1s,低四位显示脉冲宽度,单位为μs。 2.1 总体思路设计 以单片机AT89S51为核心,利用单片机AT89S51的计数/定时器(T1和T0)的功能来实现频率的计数,并且利用单片机的动态扫描把测出的数据送到数字显示电路显示。利用74HC573驱动数码管,显示电路共由六位LED数码管组成,总体原理框图如图2.1所示。

单片机频率计课程设计

贵州大学课程设计 任务要求 运用所学单片机原理、、模拟和数字电路等方面的知识,设计出一个数字频率计。数字频率计要求如下: 1)能对0~50kHz的信号频率进行计数; 2)频率测量结果通过4位数码管显示(十进制)。 二、课程设计应完成的工作 1)硬件部分包括微处理器(MCU)最小系统(供电、晶振、复位)、频率测量和数码管显示部分; 2)软件部分包括初始化、频率计算、显示等; 3)用PROTEUS软件仿真实现; 4)画出系统的硬件电路结构图和软件程序框图; 内容摘要 1.数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。 2.采用12 MHz的晶体振荡器的情况下,一秒的定时已超过了定时器可提供的最大定时值。为了实现一秒的定时,采用定时和计数相结合的方法实现。选用定时/计数器TO作定时器,工作于方式1产生50 ms的定时,再用软件计数方式对它计数20次,就可得到一秒的定时。

贵州大学课程设计 第1节引言 本应用系统设计的目的是通过在“单片机原理及应用”课堂上学习的知识,以及查阅资料,培养一种自学的能力。并且引导一种创新的思维,把学到的知识应用到日常生活当中。在设计的过程中,不断的学习,思考和同学间的相互讨论,运用科学的分析问题的方法解决遇到的困难,掌握单片机系统一般的开发流程,学会对常见问题的处理方法,积累设计系统的经验,充分发挥教学与实践的结合。全能提高个人系统开发的综合能力,开拓了思维,为今后能在相应工作岗位上的工作打下了坚实的基础。 1.1数字频率计概述 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 1.2任务分析与设计思路 频率的测量实际上就是在1s时间内对信号进行计数,计数值就是信号频率。在本次设计使用的AT89C51单片机,本身自带有定时器和计数器,单片机的T0、T1两个定时/计数器,一个用来定时,另一个用来计数,定时/计数器的工作由相应的运行控制位 TR 控制 ,当 TR 置 1 ,定时/ 计数器开始计数 ;当 TR 清 0 ,停止计数。在定时1s里,计数器计的脉冲数就是频率数,但是由于1s超过了A T89C51的最大定时,因此我们采用50ms定时,在50ms 内的脉冲数在乘以14就得到了频率数,在转换为十进制输出就可。

基于5单片机的数字频率计设计

基于5单片机的数字频率计设计

毕业论文基于51单片机的数字频率计 基于51单片机的数字频率计 目录 第1节引言 (2) 1.1数字频率计概 述…………………………………………… (2) 1.2频率测量仪的设计思路与频率的计 算…………………………………………… (2) 1.3基本设计原 理…………………………………………… (3) 第2节数字频率计(低频)的硬件结构设计 (4) 2.1系统硬件的构成 (4) 2.2系统工作原理图 (4) 2.3AT89C51单片机及其引脚说明…………………………………………………

(5) 2.4信号调理及放大整形模块 (7) 2.5时基信号产生电路 (7) 2.6显示模块 (8) 第3节软件设计 (12) 3.1 定时计数 (12) 3.2 量程转换 (12) 3.3 BCD转换 (12) 3.4 LCD显示…………………………………………………

(12) 第4节结束语 (13) 参考文献 (14) 附录汇编源程序代码 (15) 基于51单片机的数字频率计 第1节引言 本应用系统设计的目的是通过在“单片机原理及应用”课堂上学习的知识,以及查阅资料,培养一种自学的能力。并且引导一种创新的思维,把学到的知识应用到日常生活当中。在设计的过程中,不断的学习,思考和同学间的相互讨论,运用科学的分析问题的方法解决遇到的困难,掌握单片机系统一般的开发流程,学会对常见问题的处理方法,积累设计系统的经验,充分发挥教学与实践的结合。全能提高个人系统开发的综合能力,开拓了思维,为今后能在相应工作岗位上的工作打下了坚实的基础。 1.1数字频率计概述 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 本数字频率计将采用定时、计数的方法测量频率,采用一个1602A LCD显示器动态显示6位数。测量范围从1Hz—10kHz的正弦波、方波、三角波,时基

数字频率计的设计

数字频率计的设计 摘要:采用STC89C52RC单片机作为系统的核心控制器件,该系统采用直流供电,由信号输入模块、信号相加模块、滤波模块、信号比较器模块,电平转换模块组成,具有信号输入、测信号频率、测量矩形方波占空比的功能,并且具有测量精度高功耗低、抗干扰能力强等特点。

1 方案设计与比较

信号混合电路模块 方案一:同相加法器。加法器是一种数位电路,其可进行信号的加法计算。加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。同相加法器输入阻抗高,输出阻抗低反相加法器输入阻抗低,输出阻抗高当选用同相加法器时,如A输入信号时,因为是同相加法器,输入阻抗高,这样信号不太容易流入加法器,反而更容易流入B端,而影响到B端的正常使用;同样,如B输入信号时,容易流入A端,而影响到A端的正常使用。 方案二:反相加法器。当选用反相加法器时,因为加法器输入阻抗低,不管是A端,还是B端信号,更容易流入加法器,而不会影响其它路的正常使用。 综上所述选择方案一。 滤波电路模块 方案一:选用有源二阶切比雪夫高通滤波器。切比雪夫滤波电路在通带或阻带上频率响应幅度等波纹波动的滤波器。切比雪夫滤波器在过渡带比巴特沃斯滤波器的衰减快,但频率响应的幅频特性不如后者平坦。切比雪夫滤波器和理想滤波器的频率响应曲线之间的误差最小,但是在通频带内存在幅度波动,有可能有纹波波动导致电压达到施密特触发器的上限或下限出发电平,导致误触发,输出方波可能严重失真。 方案二:选用有源二阶巴特沃斯高通滤波器。巴特沃斯滤波电路的幅频响应在通带中具有最平幅度特性没有起伏,而在阻频带则逐渐下降为零,由于巴特沃斯滤波电路的幅频响应曲线很平滑,没有起伏,可以有效规避施密特比较器中的误触发,所以选用幅频响应曲线最平滑的巴特沃斯型滤波器,可以有效规避误触发。 综上所述选择方案二。

基于51单片机的数字频率计_毕业设计

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

基于AT89C52单片机的简易频率计设计说明书

单片机系统开发与应用工程实习报告 选题名称:基于AT89C52单片机的简易频率计设计 系(院): 专业:计) 班级: 姓名:学号: 指导教师: 学年学期: 2009 ~ 2010 学年第 2 学期 2010 年 5 月 30 日

摘要: 在电子技术中,频率是一个经常用到的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。本项目主要阐述了以AT89C52单片机作为核心器件,采用模块化布局,设计一个简易数字频率计,以达到测量频率并进行显示的目的。本项目利用单片机的内部定时器溢出产生中断来实现定时,把单片机内部的定时/计数器0作为定时器,实现2.5ms定时。外部待测脉冲从单片机的TI(第15引脚)输入,以定时/计数器1作为计数器,利用中断方式来达到间接测量的目的。最后采用四位数码管显示。本设计采用C语言进行软件编程,用keil软件进行调试。最后把调试成功后的程序固化到AT89C52单片机中,接到预先焊好的电路板上,接上待测脉冲,通电运行,数码管成功显示待测脉冲频率。 关键词:单片机;频率计;AT89C52

目录 1 项目综述 (1) 1.1 设计要求 (1) 1.2 系统设计 (1) 2硬件设计 (2) 2.1 电路原理图 (2) 2.2 元件清单 (2) 2.3 主要芯片引脚说明 (3) 3 软件设计 (4) 3.1 程序流程图 (4) 3.2 软件设计简述 (5) 3.3 程序清单 (6) 4 系统仿真及调试 (10) 4.1 硬件调试 (10) 4.2 软件调试 (10) 5 结果分析 (10) 总结 (11) 参考文献 (12)

简易数字频率计

宁波工程学院 电子信息工程学院 课程设计报告 课程设计题目:简易数字频率计 起讫时间:2011年05月23日至2011年06月03日

目录第一章技术指标 1.1整体功能要求 1.2电气指标 1.3扩展指标 1.4设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图 2.3 计数原理 第三章单元电路设计 3.1 波形变换电路 3.2 闸门电路设计 3.3小数点显示电路设计 第四章测试与调整 4.1 硬件测试与调整 4.2 软件测试与调整 4.3 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进 5.3心得体会 附录

第一章技术指标 1.1整体功能要求 设计并制作一台数字显示的简易频率计,主要用于测量正弦波、方波等周期 信号的频率值。 1.2 电气指标 1.2.1 信号波形:方波; 1.2.2 信号幅度;TTL电平; 1.2.3 信号频率:100Hz~9999Hz; 1.2.4 测量误差:≤1%; 1.2.5 测量时间:≤1s/次,连续测量; 1.2.6 显示:4位有效数字,可用数码管,LED或LCD显示。 1.3扩展指标 1.3.1 可以测量正弦波信号的频率,电压峰-峰值VPP=0.1~5V; 1.3.2 方波测量时频率测量上限为3MHz,测量误差≤1%; 1.3.3 正弦(Vopp=0.1V~5V)测量时频率测量上限为3MHz,测量误差≤1%; 1.3.4量程自动切换,且自动切换为四位有效数字输出; 1.4设计条件 1.4.1 电源条件:+5V。 1.4.2开发平台:本系统以高速SOC单片机C8051F360和FPGAEP2C8T144为 核心,主要包括9个模块,其主要配置见表1-1。 表1-1数字电子系统设计实验平台模块一览 型号名称主要配置 MCU模块SOC单片机8051F360,CPLD芯片EMP3064TC44 74151 FPGA模块EMP3064TC44,串行配置芯片,JTAG和AS配置 接口 74153 LCD和键盘模块12864中文液晶,16个按键 7404 8位高速A/D模块30MHz8位A/D转换器ADS930,信号调理电路4518 10位高速D/A模块双路100MHz10位D/A转换器THS5651,差分放 大电路,反相器

数字频率计的设计

电子测量实训报告 姓名:X X X 院系:X X X X 学院 专业:07电子信息工程 学号: 指导教师: 完成时间: 2010 年 9月 7 日

目录 第1章引言 (3) 1.1数字频率计的概述 (3) 1.2设计任务 (3) 1.3设计目的 (4) 1.4设计方案 (4) 1.5频率计设计原理 (5) 第2章系统硬件设计 (5) 2.1电路原理图设计 (5) 2.2单元电路介绍 (6) 2.3 74LS90引脚及其说明 (8) 2.4 74LS47的介绍 (9) 2.5 74LS123的介绍 (10) 第3章硬件调试 (11) 第4章实训小结 (10) 第5章附录 (13) 附录1 硬件电路原理图和连接图 (13) 附录2 元器件清单 (14) 附录3 参考文献 (14)

数字频率计的设计 摘要:本实训报告是关于数字频率计设计的简要介绍。采用直接测频法的方案来完成本次实训设计。其组成部分有时基电路、闸门电路、逻辑控制电路以及可控制的计数、译码、显示电路。该设计主要用于数码管的显示功能,在四位LED数码管上对输入信号频率进行显示,并能够准确运行。 关键词:数字频率计、计数脉冲、单稳态电路、闸门电路、锁存、频率显示 第1章引言 1.1数字频率计的概述 数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波,方波,三角波和尖脉冲信号的频率,而且还可以测量他们的周期。数字频率计在测量其他物理量如转速、振荡频率等方面获得广泛应用。所谓频率,就是周期性信号在单位时间(1s)里变化的次数。若在一定时间间隔T内测得的这个周期性信号的重复变化次数N,则其频率可表示为:f =N/T。 1.2设计任务 设计一个数字频率计系统,频率在四位数码管上进行显示,如下图。从左到右依次为频率的千位、百位、十位、个位。 设计要求: (1)位数: 能计4位十进制数,计数位数主要取决于被测信号频率的高低,如果被测信号频率较高,精度又较高,可相应增加显示位数。 (2)量程: 最大读数为9999Hz,闸门信号的采样时间为1s。 (3)显示方式: 用七段LED数码管显示读数,做到显示稳定、不跳变。

相关文档
相关文档 最新文档