文档库 最新最全的文档下载
当前位置:文档库 › 任务单—循环流水灯的制作

任务单—循环流水灯的制作

任务单—循环流水灯的制作
任务单—循环流水灯的制作

学号:姓名:0

第13讲:学习任务4—循环流水灯的制作

三极管流水灯电路设计

三极管流水灯电路设计 王雅 20111041105;韦梦娜 20111041107 摘要:3组12只LED流水灯是特别针对电子装配与调试技能设计出来的,值得学习和电路分析。本文分析了该流水灯电路的特点及其电路工作原理的说明。 关键字:3组12只LED流水灯;电路设计;循环。 1 引言 随着科学技术的发展,电力电子设备与人们的工作、生活的关系日益密切。各种小套件层出不穷,功能多样。本文所设计的电子制作可以说是电子初学者学习电子的最佳入门制作!其制作方式容易,趣味横生,更能提高初学者的动手能力!让初学者在制作学习中感受电子技术带来的乐趣! 2 系统的功能描述 这款3组12只LED流水灯具有制作容易、有趣易学的特点,电路焊接成功后,装入电池,即可正常工作,3组12只发光二极管便会被轮流点亮,不断的循环发光,达到流动的效果。 3 设计原理 3.1 电路工作原理说明: 本电路是由3只三极管组成的循环驱动电路。每当电源接通时,3只三极管会争先导通,但由于元器件存在差异,只会有1只三极管最先导通。这里假设V1最先导通,则V1集电极电压下降,使得电容C2的左端下降,接近0V。由于电容两端的电压不能突变,因此此时V2的基极也被拉到近似0V,V2截止,V2的集电极为高电压,故接在它上面的发光二极管LED5-LED8被点亮。此时V2的高电压通过电容C3使V3基极电压升高,V3也将迅速导通,因此在这段时间里,V1、V3的集电极均为低电压,因此只有LED5-LED8被点亮,LED1-LED4、LED9-LED12熄灭。但随着电源通过电阻R3对C2的充电,V2的基极电压逐渐升高,当超过0.7V时,V2由截止状态变为导通状态,集电极电压下降,LED5-LED8熄灭。与此同时,V2的集电极下降的电压通过电容C3使V3的基极电压也降低,V3由导通变为截止,V3的集电极电压升高,LED9-LED12被点亮。接下来,电路按照上面叙述的过程循环,3组12只发光二极管便会被轮流点亮,不断的循环发光,达到流动的效果。改变电容C1、C2、C3的容量可以改变循环速度,容量越小,循环速度越快。电源使用2节5号干电池即可。 3.2元件清单: 3.3 电路图

数电实验——广告流水灯

第五次实验报告 第五次实验要求学生完成如下任务: 广告流水灯: 用时序期间、组合器件和门电路设计一个广告流水灯,该流水灯由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移, 1)写出设计过程,画出设计的逻辑电路图,按图搭接电路 2)验证实验电路的功能 3)将1秒连续脉冲信号加到系统时钟端,观察并记录时钟脉冲CP、触发器的输出端 Q2、Q1、Q0的波形 实验5.1 一、实验原理图 设ZZ0ZZ1ZZ2ZZ3ZZ4ZZ5ZZ6ZZ7分别为8个灯的输出段,由题意得卡诺图如下: 触发器输出端输出端 QQ2QQ1QQ0ZZ0ZZ1ZZ2ZZ3ZZ4ZZ5ZZ6ZZ7 0 0 0 0 1 1 1 1 1 1 1 0 0 1 1 0 1 1 1 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 0 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 0 通过74161对时钟脉冲进行计数输出,利用74138进行译码输出。

实验原理图: 二、实验目的 广告流水灯: 用时序期间、组合器件和门电路设计一个广告流水灯,该流水灯由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移, 1)写出设计过程,画出设计的逻辑电路图,按图搭接电路 2)验证实验电路的功能 将1秒连续脉冲信号加到系统时钟端,观察并记录时钟脉冲CP、触发器的输出端Q2、Q1、Q0的波形 三、实验器材 1.实验材料 74HC161、74HC138、面包板、发光二极管1KΩ电阻和导线 2.实验仪器 口袋实验室 四、实验步骤 1.按上图所示原理图在面包板上连接好实物图 2.连接pocketlab,引脚7接时钟,引脚0~2分别接触发器输出端QQ0QQ1QQ2,观察逻辑 分析仪波形及小灯泡的亮灭情况。

流水灯电路的制作

流水灯电路的制作 一、概述: 随着电子技术的快速发展尤其是数字技术的突飞猛进,多功能流水灯凭着简易,高效,稳定等特点得到普遍的应用。在各种娱乐场所、店铺门面装饰、家居装潢、城市墙壁更是随处可见,与此同时,还有一些城市采用不同的流水灯打造属于自己的城市文明,塑造自己的城市魅力。目前,多功能流水灯的种类已有数十种,如家居装饰灯、店铺招牌灯等等。所以,多功能流水灯的设计具有相当的代表性。 多功能流水灯,就是要具有一定的变化各种图案的功能,主要考察了数字电路中一些编码译码、计数器原理,555定时器构成时基电路,给其他的电路提供时序脉冲,制作过程中需要了解相关芯片(NE555、CD4017)的具体功能,引脚图,真值表,认真布局,在连接过程中更要细致耐心。 二、电路原理图 三、电路工作原理 多功能流水灯原理电路图如上图所示。原理电路图由振荡电路、译码电路和光源电路三部分组成。本文选用的脉冲发生器是由NE555与R2、R3及C1组成的多谐振荡器组成。主要是为灯光流动控制器提供流动控制的脉冲,灯光的流动速度可以通过电位器R3进行调节。由于R3的阻值较大,所以有较大的速度调节范围。灯光流动控制器由一个十进制计数脉冲分配器CD4017和若干电阻组成。 CD4017的CP端受脉冲发生器输出脉冲的控制,其输出端(Q0~Q9)将输入脉冲按输入顺序依次分配。输出控制的脉冲,其输出控制脉冲的速度由脉冲发生器输出的脉冲频率决定。10

个电阻与CD4017的10个输出端Q0~Q9相连,当Q0~Q9依次输出控制脉冲时10个发光二极管按照接通回路的顺序依次发光,形成流动发光状态,即实现正向流水和逆向流水的功能。电源电路所采用的电源为。 四、板的设计 五、元器件清单 六、电路的组装与调试 1、电路的组装方法和步骤 (1)筛选元器件。对所有购置的元器件进行检测,注意它们的型号、规格、极性,应该保质量。 (2)按草图在PCB板上组装并焊接。 要求:①元器件布局整齐、美观,同类型元器件高度一致;

流水灯灯的制作流程

流水灯的制作流程 201400800657 唐宁 1·根据系统的需求设计需求设计单片机电路,本实验的目的是制一个流水灯,编写的程序使用中断电路和直接控制电路,下图为流水灯的电路: 2. 对单片机闪光电路的程序设计,本次编程采用了定时器来控制闪光灯,设计的周期为50ms,采用51单片机学习板为例(P1控制闪光灯),使用keil-c对单片机进行编程。keil-c的安装使用如下:(1)点击keil-c软件,按默认位置安装,这是为使得程序中的头文件 reg51.h能够在默认位置调用,安装完成后运行软件。

(2)新建一个工程,保存在计算机的某一个文件夹下;在新建一个空 白文档,将所写的程序写完后,保存为c文件,关闭程序。(3)找到所建工程的文件夹,打开project文件,将c文件添加到所在的工程中,对工程右键选中生成hex文件,然后对c文件进行编译,不断调试,然后选择重编译,直至编译通过。表明所写的程序没有语法上的错误。接下来就可以对程序进行模拟,在这里我们可以先利用软件自带的调试程序来查看运行是否正确,最终是下载到单片机上进行测试。 程序见下图: #include main() { TMOD=0x01; /*初始化定时器,按方式一工作*/ TH0=0x3c; TL0=0xb0; /*定时为50ms*/ ET0=1; /*开定时器的中断允许*/ EA=1; /*开CPU中断允许*/ TR0=1; /*打开定时器中断开关*/ TF0=0; /*溢出置为零*/ P1=0xfe; /*第一个灯亮*/ while(1); /*死循环,等待中断发生*/ }

用单片机控制的LED流水灯设计(电路、程序全部给出)

1.引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效方法就是理论与实践并重,本文笔者用AT89C51单片机自制了一款简易的流水灯,重点介绍了其软件编程方法,以期给单片机初学者以启发,更快地成为单片机领域的优秀人才。 2.硬件组成 按照单片机系统扩展与系统配置状况,单片机应用系统可分为最小系统、最小功耗系统及典型系统等。AT89C51单片机是美国ATM EL公司生产的低电压、高性能CMOS 8位单片机,具有丰富的内部资源:4kB闪存、128BRAM、32根I/O口线、2个16位定时/计数器、5个向量两级中断结构、2个全双工的串行口,具有4.25~5.50V的电压工作范围和0~24MHz 工作频率,使用AT89C51单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。其具体硬件组成如图1所示。 图1 流水灯硬件原理图 从原理图中可以看出,如果要让接在P1.0口的LED1亮起来,那么只要把P1.0口的电平变为低电平就可以了;相反,如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平;同理,接在P1.1~P1.7口的其他7个LED的点亮和熄灭的方法同LED1。因此,要

流水灯电路

流水灯电路的制作与测试 【知识目标】 ●理解时序逻辑电路的基本概念及分类。 ●掌握同步和异步时序逻辑电路的分析方法。 ●理解计数器的逻辑功能及原理。 ●掌握寄存器电路的基本工作原理,理解移位寄存器的逻辑功能。 【技能目标】 ●能用触发器制作与调试各种同步计数器。 ●能用集成计数器制作任意进制的计数器。 ●熟悉集成移位寄存器逻辑功能和各控制端的作用,能构成实用电路。 ●多种方法实现流水灯电路,且进一步完善流水灯功能。 任务一用移位寄存器构成流水灯电路 一、分析任务 在一些数字系统中,有时需要系统按照事先规定的顺序进行一系列的操作。这就要求系统的控制部分能给出一组在时间上有一定先后顺序的脉冲,再用这组脉冲形成所需要的各种控制信号。 二、相关知识 在数字系统中,常常需要将一些数码、运算结果和指令等暂时存放起来,然后在需要的时候再取出来进行处理或运算。这种能够用于存储少量二进制代码或数据的时序逻辑电路,称为寄存器。 寄存器用于暂时存放二进制代码,它是数字系统中重要的部件之一。寄存器的主要组成部分是具有记忆功能的双稳态触发器。一个触发器可以存储一位二进制代码,所以要存放n位二进制代码,就需要n个触发器。 按照功能的不同,可将寄存器分为数码寄存器和移位寄存器两大类。 1. 数码寄存器 数码寄存器具有寄存数据和清除原有数据的功能。现以集成四位数据寄存器74LSl75来说明数据寄存器的电路结构和功能。74LSl75是用D触发器组成的四

位数据寄存器。它的逻辑图和管脚排列图如图7-11所示。 (a)逻辑图 (b)管脚排列图 图7-11 四位集成数码寄存器74LS175 74LS175的功能表见表7-11,CP 是时钟端,CR 是异步清零端,D 0~D 3是数据输入端,Q 0~Q 3是数据输出端。其功能如下。 表7-11 74LS175的功能表 ①异步清零。只要CR =0,就可使输出端清零,而与时钟无关。清零后,将 CR 接高电平,数据才能正常存人。 ②并行输入/输出。在CR =1的前提下,(将需要存人的四位二进制数据送到数据输入端D 0~D 3),在CP 脉冲上升沿的作用下,将D 0~D 3的数据并行存入Q 0~Q 3,同时也可取出存人的数码的反码。 ③记忆保持。当只CR =1且CP =0时,各触发器保持原状态不变,数据寄存器处于保持状态。 无论寄存器中原来的内容是什么,只要送数控制时钟脉冲CP 上升沿到来,加在并行数据输入端的数据D 0~D 3将立即被送入寄存器中,有 32103210Q Q Q Q D D D D

花样流水灯设计

单片机课程设计 2014年 6月 15日 课 程 单片机课程设计 题 目 花样流水灯 院 系 电气工程及其自动化系 专业班级 1112班 学生姓名 温亿锋 学生学号 201111631227 指导教师 张瑛

一丶任务 设计一款以AT89C51单片机作为主控核心,按键控制电路、流水灯显示电路以及单片机最小系统等模块组成的核心主控制电路。 二丶设计要求 通过发光二极管显示不同的花样(至少有六种花样),并且可以通过按键来控制流水灯的速度。 三丶设计方案 本方案主要是通过对基于单片机的多控制、多闪烁方式的LED流水灯循环系统的设计,来达到本设计的要求。其硬件构成框图如下图所示,以单片机为核心控制,由单片机最小系统(时钟电路、复位电路、电源)、按键控制电路、LED 发光二极管和5V直流电源组成。 单片机流水灯循环控制系统硬件框图 此设计方案中单片机的P1口接5路按键控制电路,实现流水灯花型的切换功能;单片机的P3.7引脚接上一个按钮开关以实现对流水灯闪烁频率的控制,即实现了快慢两种节拍实现花型的变换;单片机上的P2口接八路LED发光二极管组成流水灯电路,显示流水灯循环情况。 四丶系统硬件设计 4.1 直流稳压电源电路

对于一个完整的电子设计来讲,首要问题就是为整个系统提供电源供电模块,电源电路的稳定可靠是系统平稳运行的前提和基础。电子设备除用电池供电外,还采用市电(交流电网)供电。通过变压、整流、滤波和稳压后,得到稳定的直流电。直流稳压电源是电子设备的重要组成部分。本项目直流稳压电源为+5V。 直流稳压电源的制作一般有3种制作形式,分别是分立元件构成的稳压电源、线性集成稳压电源和开关稳压电源。下图为稳压电源采用的是三端集成稳压器7805构成的正5V直流电源。 三端固定式集成稳压电源电路图 AT89C51单片机的工作电压范围:4.0V---5.5V,所以通常给单片机外接5V 直流电源。此处用3节1.5V的干电池供电。 4.2 单片机最小系统 要使单片机工作起来,最基本的电路的构成由单片机、时钟电路、复位电路等组成,单片机最小系统如图所示。 时钟电路:本系统采用单片机内部方式产生时钟信号,用于外接一个12MHz 石英晶体振荡器和2个30pF微调电容,构成稳定的的自激振荡器,其发出的脉冲直接送入内部的时钟电路。 复位电路:确定单片机工作的起始状态,完成单片机的启动过程。单片机系统的复位方式有上电自动复位和手动按键复位。本设计采用手动按键复位,该复位方式同样具有上电自动复位功能。

数字电路流水灯设计

数字电路流水灯设计 一:方案论证与比较 1:工作时钟源设计 (1)采用555定时器接成的多谐振荡器。 555定时器是多用途的数字—模拟混合集成电路,利用它能极方便的构成施密特触发器,单稳态触发器和多谐振荡器,使用灵活,方便。555定时器在波形产生和交换,测量与控制中应用广泛成熟准确。 (2)采用三极管多谐振荡器 三极管多谐振荡器是一种矩形脉冲产生电路,这种电路不需外加触发信号,便能产生一定频率和一定宽度的矩形脉冲,常用作脉冲信号源。由于矩形波中含有丰富的多次谐波,故称为多谐振荡器。多谐振荡器工作时,电路的输出在高、低电平间不停地翻转,没有稳定的状态,所以又称为无稳态触发器。 (3)方案比较 555定时器接成的多谐振荡器产生的时钟信号驱动能力较强,555通过改变R和C的参数就可以改变振荡频率,电路参数容易确定,使用简单,信号稳定,调试方便,而三极管多谐振荡器,不易调试,输出信号驱动能力不强且信号不够稳定,故选用555定时器接成的多谐振荡器作为系统的时钟源。

2流水灯驱动电路设计 本次项目中使用1片4位同步二进制计数器74LS161,其Q0,Q1,Q2脚输出三位二进制顺序脉冲000-001-010-011-100-101-110-111,时钟源为555定时器的输出方波。 与Q0,Q1,Q2相连接的是一片38译码器74LS138的A0,A1,A2引脚,Y0—Y7依次输出负脉冲。其是引脚输入脉冲为时钟源为555定时器的输出方波经一片74LS14反相器反相后的时钟脉冲,其74LS138真值表如下: 8个LED以共阳接法分别接于Y0—Y7,依次点亮,其亮灭频率由555定时器产生的时钟频率为准。 二参数计算 555定时器外接电阻计算

用单片机控制的LED流水灯设计(电路、程序全部给出) 开关电源设计制作

用单片机控制的LED流水灯设计(电路、程序全部给出)开关电源设计制作学习园地 » 您尚未登录注册 | 社区服务 | 勋章中心 | 帮助 | 首页 | 无图版 社区服务 银行 朋友圈 开关电源设计制作学习园地 -> 好好学习-天天向上 -> 用单片机控制的LED流水灯设计(电路、程序全部给出) XML RSS 2.0 WAP --> 本页主题: 用单片机控制的LED流水灯设计(电路、程序全部给出)加为IE收藏 | 收藏主题 | 上一主题 | 下一主题 pwmdy 级别: 电源-1级工程师 精华: 0 发帖: 212 威望: 126 点 金钱: 212 RMB 贡献值: 0 点 注册时间:2009-05-21 最后登录:2009-11-22 用单片机控制的LED流水灯设计(电路、程序全部给出) 1.引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效方法就是理论与实践并重,本文笔者用AT89C51单片机自制了一款简易的流水灯,重点介绍了其软件编程方法,以期给单片机初学者以启发,更快地成为单片机领域的优秀人才。 2.硬件组成 按照单片机系统扩展与系统配置状况,单片机应用系统可分为最小系统、最小功耗系统及典型系统等。AT89C51单片机是美国ATMEL公司生产的低电压、高性能CMOS 8位单片机,具有丰富的内部资源:4kB闪存、128BRAM、32根I/O口线、2个

哈工大数电自主实验 数字流水灯

Harbin Institute of Technology 数字电路自主设计实验 院系:航天学院 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验为我们提供了动手实践的机会,增强动手实践的能力。 二、实验要求 设计流水灯,即一排灯按一定的顺序逐次点亮,且可调频、暂停、步进。 三、实验步骤 1.设计电路实现题目要求,电路在功能相当的情况下设计越简单越好; 2. 画出电路原理图(或仿真电路图); 3.元器件及参数选择; 4.电路仿真与调试; 5.到实验时进行电路的连接与功能验证,注意布线,要直角连接,选最短路径,不要相互交叉,注意用电安全,所加电压不能太高,以免烧坏芯片; 6.找指导教师进行实验的检查与验收; 7.编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,心得体会。 四、实验原理 设计流水灯的方法有很多种,我的设计思路是: 利用555定时器产生秒脉冲信号,74LS161组成8进制计数器,74LS138进行译码,点亮电平指示灯。并通过调节555的电阻,实现频率可调。通过两与非门,实现暂停、步进功能。

1.秒信号发生器 (1)555定时器结构(2)555定时器引脚图 (3)555定时器功能表 (4)555定时器仿真图

2. 74LS161实现8进制加计数 74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活地运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。 (1)74LS161同步加法器引脚图 管脚图介绍: 始终CP和四个数据输入端 P0-P3 清零CLR 使能EP,ET 置数PE 数据输出端Q0-Q3 进位输出TC (2)74LS161功能表 (5)74LS161仿真图 对74LS161进行八进制计数改组,需要一个与非门,即芯片74LS00,也就是将74LS161的输出端通过与非门,当输出为8时将输出为高电平的端口与非后接到74LS161的清零段。即计数到8是异步清零,所以74LS161变为八进制计数。

用单片机控制LED流水灯方案(电路程序全部给出)开关电源方案制作

用单片机控制的LED流水灯设计<电路、程序全部给出)开关电源设计制作学习园地 »。您尚未登录注册 | 社区服务 | 勋章中心 | 帮助 | 首页 | 无图版 社区服务 银行 朋友圈 开关电源设计制作学习园地 -> 好好学习-天天向上 -> 用单片机控制的LED流水灯设计<电路、程序全部给出) XML RSS 2.0 WAP --> 本页主题: 用单片机控制的LED流水灯设计<电路、程序全部给出)加为IE收藏 | 收藏主题 | 上一主题 | 下一主题 pwmdy 级别: 电源-1级工程师 精华: 0 发帖: 212 威望: 126 点 金钱: 212 RMB 贡献值: 0 点 注册时间:2009-05-21 最后登录:2009-11-22 用单片机控制的LED流水灯设计<电路、程序全部给出) 1.引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效方法就是理论与实践并重,本文笔者用AT89C51单片机自制了一款简易的流水灯,重点介绍了其软件编程方法,以期给单片机初学者以启发,更快地成为单片机领域的优秀人才。 2.硬件组成 按照单片机系统扩展与系统配置状况,单片机应用系统可分为最小系统、最小功耗系统及典型系统等。AT89C51单片机是美国ATMEL公司生产的低电压、高性能CMOS 8位单片机,具有丰富的内部资源:4kB闪存、128BRAM、32根I/O口线、2个

项目二 流水灯的制作与调试

流水灯的制作与调试 随着电子技术的快速发展尤其是数字技术的突飞猛进,多功能流水灯凭着简易,高效,稳定等特点得到普遍的应用。在各种娱乐场所、店铺门面装饰、家居装潢、城市墙壁更是随处可见,与此同时,还有一些城市采用不同的流水灯打造属于自己的城市文明,塑造自己的城市魅力。目前,多功能流水灯的种类已有数十种,如家居装饰灯、店铺招牌灯等等。所以,多功能流水灯的设计具有相当的代表性。 任务1 认识电路 1.电路工作原理 图1所示为555+ 4017构成的自动脉冲分配器电路原理图。 图1 叮咚门铃电路原理图 十进制计数/分频器CD4017,其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2、…、O9依次出现与时钟同步的高电平,宽度等于时钟周期。 CD4017有10个输出端(Y0~Y9)和1个进位输出端CO 。每输入10个计数脉冲,CO 就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号。 CD4017有3个控制(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时其输出O0为高电平,其余输出端(O1~O9)均为低电平。CP0和~CPl 是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CPl 端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光。 由此可见,当CD4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接 项目二 轻触按钮

用作顺序脉冲发生器。 CLK或CP端:轻触开关作为信号触发,上升沿. CR端: 清零端,高电平清零. INH端: 接低电平时,CLK端上升沿计数,输出高电平. 接高电平时,保持. CO端:进位输出端,没有进位时输出高电平(Q0~Q4),有进位时输出低电平(Q5~Q9). 实物图 图2所示为流水灯电路实物图。 图2 流水灯电路实物图

数电大作业流水灯

数电大作业流水灯-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

综合设计题 一.流水灯 1.总体思路 8位流水灯始终是一亮七暗的,根据这个特点可以考虑采用74LS138译码器的输出来实现流水灯的循环电路。同时,还可以用74LS161四位二进制计数器来控制74LS138的输入端,从而实现对灯亮灭的控制 2.使用元件 3—8译码器74LS138,四位二进制计数器74LS161,555定时器,七段数码管译码器驱动器4511芯片,数码管,电容,电阻,非门若干。 3.电路原理框图 4.元器件在本电路中的主要功能 ○1555定时器 555 定时器在本电路中的作用主要是产生占空比可调的矩形脉冲从而 可以改变灯亮时间,而且它的振荡周期为T=0.7 (+2)C。此处C=0.1uF.由电路参数可知,当 R1为10kΩ时,灯亮时间为0.0014s.它的功能主 要由两个比较器决定。两个比较器的输出电压控 制 RS 触发器和放电管的状态。在电源与地之间加 上电压,当 5 脚悬空时,则电压比较器 C1的同相 输入端的电压为 2VCC /3,C2的反相输入端的电压 为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2的输出为 0, 可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1的输出为 0,C2的输出为 1, 可将 RS 触发器置 0,使输出为 0 电平。电路图如下:

○2 74LS161计数器 74LS161计数器在本电路中的作用是产生000-111脉冲控制 74LS138的A 2A 1 A ,依次选通Y -Y 7 。产生脉冲序列也可以用74LS191是 四位二进制同步加/减计数器,与74LS161相比,它能够实现减计数,此处只需要求产生脉冲序列,而且74LS161是常用的计数器,所以选择74LS161产生脉冲序列。所以采用反馈置数法,产生000-111脉冲 序号,时钟脉冲外部接入,原理图如下图所示 ○374LS138译码器

心形LED流水灯制作方法

LED心形流水灯加程序 1.原件清单:一个万能板,一个底座,一个STC89C52芯片,32个LED 灯,32个贴片电阻,两个30uf电容,一个晶振。 2.原理图: 注:电源处的复位可以不用;就是上面红框里的内容 3.正面图

背面图: 亮灯图: 4. 程序代码: #include #include #define uint unsigned int #define uchar unsigned char uchar code table[]={0xfe,0xfc,0xf8,0xf0,0xe0,0xc0,0x80,0x00}; // 逐个点亮0~7 uchar code table1[]={0x7f,0x3f,0x1f,0x0f,0x07,0x03,0x01,0x00}; // 逐个点亮7~0 uchar code table2[]={0x01,0x03,0x07,0x0f,0x1f,0x3f,0x7f,0xff}; // 逐个灭0~7 uchar code table3[]={0x80,0xc0,0xe0,0xf0,0xf8,0xfc,0xfe,0xff}; // 逐个灭7~0

/***********************************************************/ void delay(uint t); //延时 void zg(uint t,uchar a);//两边逐个亮 void qs(uint t,uchar a);//全部闪烁 void zgxh(uint t,uchar a); // 逆时针逐个点亮 //void zgxh1(uint t,uchar a); // 顺时针逐个点亮 void djs(uint t,uchar a); //对角闪 void lbzgm(uint t,uchar a);//两边逐个灭 //void sszgm(uint t,uchar a); // 顺时针逐个灭 void nszgm(uint t,uchar a); // 逆时针逐个灭 void sztl(uint t,uchar a);//顺时逐个同步亮 void nztl(uint t,uchar a);//逆时逐个同步亮 void sztm(uint t,uchar a);//顺时逐个同步灭 void nztm(uint t,uchar a);//逆时逐个同步灭 void hwzjl(uint t,uchar a); //横往中间亮 void hwzjm(uint t,uchar a); //横往中间灭 //void swzjl(uint t,uchar a); //竖往中间亮 //void swzjm(uint t,uchar a); //竖往中间灭 void nzdl(uint t,uchar a); //逆时逐段亮 void nzdgl(uint t,uchar a); //逆时逐段一个点亮 void jgs(uint t,uchar a); //间隔闪 /**********************************************************/ void zg(uint t,uchar a)//两边逐个亮 { uchar i,j; for(j=0;j

流水灯电路图和程序

流水灯电路图和程序 #include #include #define uchar unsigned char #define uint unsigned int uchar code led[]={0xfe,0xfb,0xfd ,0xf7,0xef,0xbf,0xdf,0x7f}; delay(uint z) { uint x,y; for(x=z;x>0;x--) for(y=110;y>0;y--); } main( ) { uint i; while(1) { P2=led[]; delay(500); _crol_(led,1); } } 用arm7做一个流水灯的设计。 悬赏分:100 - 解决时间:2009-9-3 20:03 试设计一个闪烁流水灯控制器,该控制器可以控制8个灯顺序亮灭,当按钮K按下1次后,每次顺序点亮一个灯。而且每个点亮的灯在闪烁3次后,才能灭,周而复始,直到按钮K 二次按下。(用状态机设计)

要用PROTEL99画好电路图。还要写好程序。画图的也只能发到我的邮箱里面吧。邮箱:。谢谢各位。 提问者:woxinruozai - 五级 最佳答案 从原理图可以看出,如果我们想让接在P1.0口的LED1亮起来,那么我们只要把P1.0口的电平变为低电平就可以了;相反,如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平就可以;同理,接在P1.1~P1.7口的其他7个LED的点亮和熄灭方法方法同LED1。因此,要实现流水灯功能,我们只要将LED2~LED8依次点亮、熄灭,依始类推,8只LED变会一亮一暗的做流水灯了。 实现8个LED流水灯程序用中文表示为:P1.0低、延时、P1.0高、P1.1低、延时、P1.1高、P1.2低、延时、P1.2高、P1.3低、延时、P1.3高、P1.4低、延时、P1.4高、P1.5低、延时、P1.5高、P1.6低、延时、P1.6高、P1.7低、延时、P1.7高、返回到开始、程序结束。 从上面中文表示看来实现单片机流水灯很简单,但是我们不能说P1.0你变低,它就变低了。因为单片机听不懂我们的汉语的,只能接受二进制的“1、0......”机器代码。我们又怎样来使单片机按我们的意思去工作呢?为了让单片机工作,只能将程序写为二进制代码交给其执行;早期单片机开发人员就是使用人工编写的二进制代码交给单片机去工作的。今天,我们不必用烦人的二进制去编写程序,完全可以将我们容易理解的“程序语言”通过“翻译”软件“翻译”成单片机所需的二进制代码,然后交给单片机去执行。这里的“程序语言”目前主要有汇编语言和C语言两种;在这里我们所说的“翻译”软件,同行们都叫它为“编译器”,将“程序语言”通过编译器产生单片机的二进制代码的过程叫编译。前面说到,要想使LED1变亮,只需将对应的单片机引脚电平变为低电平就可以了。现在让我们将上面提到的8只LED流水灯实验写为汇编语言程序。 实现8个LED流水灯汇编语言源程序liu01.asm ;----- 主程序开始----- START: CLR P1.0 ;P1.0输出低电平,使LED1点亮 ACALL DELAY ;调用延时子程序 SETB P1.0 ;P1.0输出高电平,使LED1熄灭 CLR P1.1 ;P1.1输出低电平,使LED2点亮 ACALL DELAY ;调用延时子程序 SETB P1.1 ;P1.1输出高电平,使LED2熄灭 CLR P1.2 ;P1.2输出低电平,使LED3点亮 ACALL DELAY ;调用延时子程序 SETB P1.2 ;P1.2输出高电平,使LED3熄灭 CLR P1.3 ;P1.3输出低电平,使LED4点亮 ACALL DELAY ;调用延时子程序 SETB P1.3 ;P1.3输出高电平,使LED4熄灭 CLR P1.4 ;P1.4输出低电平,使LED5点亮 ACALL DELAY ;调用延时子程序

流水灯(电路和汇编)-Proteus和Keil仿真演示实例

示例要求: 在80C51单片机的P2口连接8个发光二极管指示灯,编程实现流水灯的控制,轮流点亮指示灯。 在KEIL 51中编程序,形成HEX文件;在PROTEUS中设计硬件,下载HEX文件,运行看结果。 第1篇:PROTEUS电路设计 1、打开PROTEUS的ISIS软件,如图1所示。新建电路图文件,将文件保存到E:\projectio(新建文件夹projectio)下面,文件基本名为io,扩展名默认。 选择元件 图1 ISIS窗口图 2、在component mode模式下单击选择元件按钮P,打开元件选择对话框,如图2所示。

在元件选择对话框的keywords窗口中输入元件关键字可换搜索元件,找到元件后,双击元件则可选中元件,添加元件到图3的device列表栏。在这里依次添加元件单片机80C51、电阻RES、电容CAP、按键BUTTON、晶振CRYSTAL、发光二极管LED-RED,如图3所示。 图3 添加元件的device列表栏 3、选择devices元件列表中的元件放到工作窗口,注意放置在工作窗口合适的位置,在元件放置时可对元件进行移动、旋转等操作;如图4所示。电源(POWER)与地(GROUND):(右键-放置-终端里选)。 图4 放置元件图

4、连接导线,如图5所示。连接后存盘。 图5 连接元件图 5、在Keil 软件中设计软件程序,形成HEX 文件(具体过程见第2篇Keil 软件编程)。保存软件项目到电路文件相同的文件夹E :\projectio 下。 6、在PROTEUS 电路图中,单击单片机80C51芯片,选中,再次单击打开单片机 80C51的属性对话框,在属性对话框中的program file 框中选择下载到80C51芯片中的程序。这里是同一个文件夹下面的shili.hex 文件。如图6所示。 图6 下载程序到单片机

查询方式流水灯制作

基于51单片机的流水灯 1.实验任务 利用单片机AT89C51与LED设计一串流水灯,要求至少26个灯,三种流水模式。 2. 电路原理图 3.系统板上硬件连线 (1)P0,P2,P3分别接24个小灯,P1.5,P1.6,P1.7用来显示流水灯模式的指示灯; (2)P1.0,P1.2,P1.4三个管脚为三种模式控制键,按下其中一种可以显示一种流水模式。 4.c语言程序 #include #include

sbit key1=P1^0; sbit key2=P1^2; sbit key3=P1^4; sbit W1=P1^5; sbit W2=P1^6; sbit W3=P1^7; unsigned char i,j,k,m,n,temp,y,v,u; int a[]={0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe}; int b[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; void delay02s(void) //延时0.2秒子程序 { unsigned char i,j,k; //定义3个无符号字符型数据。 for(i=20;i>0;i--) //作循环延时 for(j=20;j>0;j--) for(k=248;k>0;k--); } void aa() // 实现要求子程序(key1按下时实现逐盏点亮,逐盏灭掉) { P0=0xff ;// 发光二极管全灭 while(!key1) delay02s(); while(key1==1) { while(1)

数字电路流水灯设计书

电子工程学院课外学分申请书题目:数字电路流水灯设计 班级:***** 姓名:****** 学号:***** 专业:自动化 实验室:开放实验室 设计时间:2013年3月10日——2013年6月30日审批意见:指导老师:*****

1.数字电路流水灯设计方案 1.1采用555定时器接成的多谐振荡器。 555定时器是多用途的数字—模拟混合集成电路,利用它能极方便的构成施密特触发器,单稳态触发器和多谐振荡器,使用灵活,方便。555定时器在波形产生和交换,测量与控制中应用广泛成熟准确 。 1.2 采用三极管多谐振荡器 三极管多谐振荡器是一种矩形脉冲产生电路,这种电路不需外加触发信号,便能产生一定频率和一定宽度的矩形脉冲,常用作脉冲信号源。由于矩形波中含有丰富的多次谐波,故称为多谐振荡器。多谐振荡器工作时,电路的输出在高、低电平间不停地翻转,没有稳定的状态,所以又称为无稳态触发器。 1.3方案比较 555定时器接成的多谐振荡器产生的时钟信号驱动能力较强,555通过改变R和C的参数就可以改变振荡频率,电路参数容易确定,使用简单,信号稳定,调试方便,而三极管多谐振荡器,不易调试,输出信号驱动能力不强且信号不够稳定,故选用555定时器接成的多谐振荡器作为系统的时钟源。 2.基本原理 本次项目中使用1片4位同步二进制计数器74LS161,其Q0,Q1,Q2脚输出三位二进制顺序脉冲000-001-010-011-100-101-110-111,时钟源为555定时器的输出方波。 与Q0,Q1,Q2相连接的是一片38译码器74LS138的A0,A1,A2引脚,Y0—Y7依次输出负脉冲。其是引脚输入脉冲为时钟源为555定时器的输出方波经一片74LS14反相器反相后的时钟脉冲,其74LS138真值表如下: 8个LED以共阳接法分别接于Y0—Y7,依次点亮,其亮灭频率由555定时器产生的时钟频率为准。 3 电路图

基于单片机的流水灯的设计与制作

设计成绩 《电子设计大赛培训》 素质拓展设计报告 题目基于单片机的流水灯的设计与制作 姓名 学号 专业年级 12级通信工程3班 指导教师张学斌 2015年 06 月 25 日

摘要 (3) 一.单片机课程设计的目的和意义 (2) 二.设计任务与总体设计思路 (2) 2.1 设计要求 (2) 三.硬件电路设计 (4) 3.1 AT89C52RC简介 (3) 3.2 硬件电路图......................................... 错误!未定义书签。四.软件设计 (8) 五.收获与展望 (13) 参考文献 (13)

单片机的应用已经广泛的渗透到国民经济的各个领域,随着在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统正以前所未有的速度被单片机智能控制系统所取代,它已经成为工科大学生的必修课程之一。本设计用单片机8951结合LED制作了一种新型的LED流水灯控制系统的设计,以AT89C51作为主控核心,利用软件实现对LED流水灯进行控制。本系统具有体积小、硬件少、电路结构简单及容易操作等优点。 本文设计的是基于单片机89C51的流水灯设计 关键词:LED AT89C51单片机控制系统流水灯 一.单片机课程设计的目的和意义 单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。 采用单片机可以完成很多功能,现在很多电子产品都要用到单片机。 二.计任务与总体设计思路 2.1 设计要求 (1)制作一个基于单片机控制的普通的流水灯(8盏),电路接通电源后流水灯正常跑动(如每次亮2盏); (2)可以实现流水灯的四个特殊功能(通过按键按钮实现功能间的切换)。 (a)实现亮灯数目的增加;

数字电路流水灯

流水灯设计 专业 班级 学生 指导教师 日期2011年7 月7日

一.名称:流水灯 设计方法: 1.利用555定时器制作一个秒信号发生器。(1).555定时器的结构图 图1.555定时器结构图

(2).555定时器功能表 (3).秒信号产生 计算参数: 图.3. 用555做的多谐振荡器 因为R1=R2,所有取2搞47KΩ和一个2KΩ的电阻串联。就得到如图3的设计图。

2.控制电路 (1).用分配器控制彩灯的流水式. 74HC4017中1-7,9-11为输出管脚,输出为1000000000—010*******—0010000000—0001000000——0000100000——0000010000——00000001000——0000000100——00000000010——0000000001——1000000000.符号如下图。 逻辑图封装图 14管脚是时钟输入端。13是低电平有效。15清零端端。12管脚为进位端。这个设计中我们只用1个芯片,所有不用12端。

(2).时序波形图

3.仿真过程 通过一个星期的课程设计,我们了解到此次设计主要是完成LED循环闪烁电路的设计,当我把准备好关于此次课程设计的资料分析后,我没有到学校的实验室进行本次课程设计,而是天天在寝室或者图书馆来回跑,进过这些天的努力,终于完成了12V直流稳压电源和LED循环闪烁次得任务。 当我把电路连接好后,做了最后的检查,在检查过后,就是进行电路仿真过程。当电路仿真成功后,就是进行LED循环闪烁电路部分的观察,当通电后,LED 循环闪烁,在通电后,看LED灯是否按照我们本次课程设计的要求进进行闪烁,如果不按照要求闪烁的话,再进行电路的检查,直到结果正确才成功。 检查电路设计的原理图即导线的链接,并确定导线的链接与电路原理图一致。 检查导线的链接,并检查导线是否断路,根据电路原理图,检查各导线对应的按点是否接好。 检查完线路,确定电路完全连好。点击运行仿真,这是可以看到电路发光情况。发光二极管全部可以正常发光,电阻和电容全部正常,电路完全正常。芯片CD4017和定位器NE555工作正常。 :

相关文档