文档库 最新最全的文档下载
当前位置:文档库 › 数字电路三人表决器

数字电路三人表决器

数字电路三人表决器
数字电路三人表决器

数电设计实验报告

[设计题目]

三人表决器的设计与装配

[设计要求]

1.熟悉各元件的性能和设置元件的参数。

2.对电路图的原理进行分析,并对原理图进行改良,弄清

楚电路的工作原理。

3. 元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。

4. 对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。

5 . 学习数字逻辑电路的设计方法。

6. 熟知74LS138和74LS20芯片各引脚的功能及内部结构。

7. 学会使用74LS138和74LS20芯片。

8. 学会真值表与逻辑表达式及卡诺图之间的转换,能根据化简后的逻辑表达式画出逻辑电路。

[电路介绍]

三人表决器主要由一个3-8位译码器(74LS138)和2)组成。通过三个按钮接受用户74LS20输入与非门(4个

输入。按钮按下表示同意,不按下表是否决,当没有人按下按钮时,或只有一个人按下按钮时,例如,S1按下,而S2和S0未按下,则红灯亮,绿灯灭,蜂鸣器无声音,表示否决,当有两个人及以上的人按下按钮后,例如,S1和S2按下,则红灯灭,绿灯亮,蜂鸣器发音,表示通过。

[电路原理]

][元器件清单

元器件名规格数量代号备注序号

称 1 R1,R33 电阻器千欧10 2 2R4,R5 千欧300 电阻器.R电阻千R千电阻R4千电阻V901三极901V三极5m发光二VV 红绿各

74LS13U集成电74LS21U集成电1XC6U音乐芯1蜂鸣1按钮开常SS

D1接线

1印刷电

[电路真值表]

注(1表示同意、灯亮)(0表示不同意、灯不亮)

板及实物图[PC]

][电路的调试:电路的结果分析:在焊接是尽可能避免线路的交叉,不要把焊电焊的过大,相邻焊盘绝缘的一定不能连接在一起。

设计心得体会][

通过这次实验,我从中收获了很多,懂得了对电路的进一步分析,也从而巩固和提高电路的设计能力、元件的选择及检测的能力等,同时也对我们所学的知识的掌握,通过本次实验我学会了逻辑表达式图之间的转换,通过检测电路使.我们对电路有了更深的了解

]:[参考资料,高等教育出版)第五版[1]阎石主编,数字电子技术基础( .2005社

[2]童诗白,华成英.模拟电子技术基础,高等教育出版社.2006

[3]梅丽凤,王艳秋,汪毓铎,等.单片机原理及接口技术[M].北京:清华大学出版社,2004.

[4]何立民.MCS-51系列单片机应用系统设计[M].北京:北京

航天航空大学出版社,2001.

[注]:

此设计实验由李杰、杜金鑫两个人合作完成,李杰承担设计、修改电路图,焊接电阻、电容等元器件和搜索三人表决器相关资料的任务;杜金鑫焊接芯片和数码管等元器件,调试电路实际效果,撰写设计报告。

数字电路课程设计实验报告1

序言 在测试、研究或调整电子电路及设备时,为测定电路的一些点参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所需技术要求的电信号,以模拟在实际工作中使用的待测设备的激励信号。信号发生器即由此而来,作为电子设计中常用仪器仪表,信号发生器又称信号源,可以用来产生被测电路所需特定参数的电测试信号。根据输出波形的不同,信号源可以分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。例如当要求进行系统的稳定性测量时,需使用振幅、波形、幅值等能在一定范围内进行精确调整,有很好的稳定性,有输出指示。基于信号发生器的广泛使用,对信号发生器设计的研究就显得非常有意义。 本课题是利用VHDL语言来实现计费功能的,VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力,因此选用VHDL语言进行编程。 本次课程设计巩固和运用了所学课程,通过理论联系实际,提高了分析、解决计算机技术实际问题的独立工作能力,通过对一个函数信号发生器的设计,进一步加深了对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉了数字电路系统设计、制作与调试的方法和步骤。进一步了解了计算机组成原理与系统结构,使自己对EDA技术的学习更深入,学会用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。 现在的信号发生器设计有以下几种方法: (1) 模拟直接合成法。这种方法充分利用了乘法器、除法器、混频器、滤波器和快速开关构成合成信号发生器,但是它的缺点是带宽不够高,性能差,构成信号发生器的电路体积比较庞大,而且功耗较高。

五人表决器的数字电路课程设计

数字电路课程设计报告书 课题名称 五人表决器的设计 姓 名 **** 学 号 ******** 院、系、部 物理与电信工程系 专 业 电子信息工程 指导教师 ***** ***年 **月 **日 ※※※※※※※※※ ※※ ※※ ※ ※ ※※※※※※※※※ ****级电子信息工程专业电子技术课 程设计

一、设计任务及要求: 设计任务: ①设计一个五人表决器。 ②通过两种不同颜色的灯来代表表决是否通过 要求: ①学习使用芯片74HC138进行控制。 ②多数人同意则通过,少数人同意则被否决。 ③用红灯亮表通过,绿灯亮表否决。 指导教师签名: **** 年月日二、指导教师评语: 指导教师签名: ****年月日三、成绩 验收盖章 **** 年月日

五人表决器的设计 1 设计目的 (1)熟悉集成电路的引脚及安排。 (2)掌握各芯片的逻辑功能及控制方法。 (3)学习multisim11.0仿真。 2设计思路 (1)设计表决工作电路 (2)设计不同开关控制译码器 (3)设计输出显示电路 3 设计过程 3.1、方案论证 按键选择译码显示输出 图3.1 五人表决器的结构框图 1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。 2. 对逻辑电位进行译码。 3. 对译码后芯片的输出进行显示。 3.2、系统原理 对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮(红灯用“1”表示),绿灯亮(绿灯用“0”表示)。 表3.1 5人表决器真值表 A B C D E Y A B C D E Y 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 0 1 1 0 1 0 0 1 1 1 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 0 1 0 1 0 1 1

8路数字抢答器课程设计报告

八路抢答器课程设计报告设计课题:数显八路抢答器 专业班级 08电气一班 学生姓名:陈建运 - 学号:06 指导教师: 设计时间: 2010-12 %

目录 课程设计任务书 (2) 摘要 (4) )Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 总体方案设计 (7) 设计思路 (7) 总电路框图 (7) 各模块设计方案及原理说明 (8) \ 抢答电路 (8) 倒计时电路 (12) 四、电路仿真 (14) 抢答电路 (14) 倒计时电路 (17) 五、实验结果及分析 (20) 六、收获、体会和建议 (22) 附录 (25) > 1.总电路图 (25) 2. 元件引脚图 (26) 3.元器件清单 (28) 主要参考文献 (29) `

¥ 摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用peotul完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真 Abstract 。 Responder is a tool that has been widely used in various intelligence and knowledge competitions occasions. The design to eight-way quiz Responder basic concepts, from the practical application, the use of electronic design automation (EDA) technology, with digital, analog electronics design extension of the answering device. The design of the Responder use Multisim11 completed the schematic design and circuit simulation, with digital display, countdown shows, coding and decoding functions, with good results.

智能抢答器的设计与实现

课程设计任务书 学生姓名:王双双专业班级:通信1105 指导教师:李政颖工作单位:武汉理工大学 题目: 智能抢答器的设计与实现 初始条件: 本设计既可以选用集成电路:74LSl48,74LS279,74LS48,74LSl92,NE555,74LS00,74LSl21 和其它器件等,实现八路定时抢答功能;又可以使用单片机系统构建多路数字定时抢答器。要求 用蜂鸣器和光电二极管作声光报警器件,工作电源Vcc为+5V。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)可同时供8名选手(或代表队)参赛,其编号分别是0到7,各用一个抢答按钮,按钮的编号 与选手的编号相对应;给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 2)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并 在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 3)抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目主持人启 动“开始”键后,要求定时器立即进行减计时,并用显示器进行显示,同时扬声器发出短暂的声 响,声响持续时间0.5秒左右。 4)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和 抢答时刻的时间,并保持到主持人将系统清零为止。 5)如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统进行短暂的报警,并封锁 输入电路,禁止选手超时后抢答,定时显示器上显示00。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用 A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 5 月18 日至2013 年6 月20 日,方案选择和电路设计。 3、2013 年6 月21 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月2日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

4FPGA实验报告8位乘法器—徐艺萍

实验四8位乘法器实验 一、实验原理 8位乘法器,输入为两个8位信号,输出结果为16位。 module mult8(out, a, b); //8位乘法器源代码 parameter size=8; input[size-1:0] a,b; //两个操作数 output[2*size-1:0] out; //结果 assign out=a*b; //乘法运算符 endmodule 本实验采用Chipscope-Pro生成VIO/ICON核,并插入到8位乘法器设计中,在线进行观测和调试。 二、实验目的 1. 熟悉ISE9.1 开发环境,掌握工程的生成方法; 2. 熟悉SEED-XDTK XUPV2Pro 实验环境; 3. 了解Verilog HDL语言在FPGA 中的使用; 4. 通过掌握8位乘法器的Verilog HDL设计,了解数字电路的设计。 三、实验内容 1. 用Verilog HDL语言设计8位乘法器,进行功能仿真验证。 2. 使用chipscope-Pro 生成VIO/ICON 核,在线观测调试。 四、实验准备 1. 将USB 下载电缆与计算机及XUPV2Pro 板的J8 连接好; 2. 将RS232 串口线一端与计算机连接好,另一端与板卡的J11 相连接; 3. 启动计算机,当计算机启动后,将XUPV2Pro 板的电源开关SW11 打开到ON 上。观察XUPV2Pro 板上的+2.5V,+3.3V,+1.5V 的电源指示灯是否均亮。若有不亮的,请断开电源,检查电源。

五、实验步骤 ⑴创建工程及设计输入 ①在E:\project\目录下,新建名为mult8的新工程; 器件族类型(Device Family)选择“Virtex2P”, 器件型号(Device)选“XC2VP30 ff896 -7”, 综合工具(Synthesis Tool)选“XST (VHDL/Verilog)”, 仿真器(Simulator)选“ISE Simulator” ②设计输入并保存。 ⑵功能仿真 ①在sources窗口sources for中选择Behavioral Simulation。 ②由Test Bench WaveForm 添加激励源,如图1所示。仿真结果如图2所示。 图1 波形激励编辑窗口 图2 仿真结果 从图中可以验证由Verilog HDL语言设计的8位乘法器的工作是正确的,不论是输入a的值变化还是输入b的值变化,输出值随之变化,为a与b的乘积。 ⑶生成核并添加核 本次试验内容为8位乘法器,不需要使用ILA核。因此下面使用核生成法生成一个ICON核,一个VIO核就可以了。 ①首先对生成的工程进行综合。 ②生成核 ③添加核

数字电路表决器

项目一 数字电路基础设计—3人表决器 一、 3人表决器描述 该电路功能:供三人(A 、B 、C )表决使用。每人有一按键,如果某人赞成,就按下键,表示“1”;如果不赞成,就不按键,表示“0”。表决结果用指示灯来显示,如果多数赞成,则指示灯亮,Y=1;反之则不亮,Y=0。该逻辑功能采用“与非-与非门”实现。 二、 原理图 绿灯 0.01uF

三、材料清单 四、工作原理 1、主要元件介绍 (1)双四输入与非门(CD4012) 电路中的四个与非门共使用了两个CD4012芯片,该芯片含有两个为四个输入端一个输出端的与非门,共14个引脚,它的内部引脚图如下: (VDD为电源端,Vss为接地端,6、8脚为NC不使用端)

(2)OC非门(ULN2003AN) 该芯片的内部含有7个独立的OC非门(输入为1输出将为0),该电路中只需使用其中的一个,它的作用是用于驱动二极管。引脚下图所示: (8脚为接地端,9脚为电源端,图中已标明输入端IN和输出端OUT, 它们一一对应) 2、工作原理 本电路处理的是数字信号,主要模块的代数是AC =,当有 ? AB BC Y? 两个或三个按钮被按下,该信号经过该模块的处理,输出结果Y=1,在经过驱动OC门使绿灯点亮,此时表明通过;当有一个或没有一个按钮被按下时,输出结果为Y=0,经过OC门,将无法无法驱动绿灯亮。所以,该电路实现的功能是少数服从多数。 五、制作说明及注意事项 1.电路中的12V和。分别为电池的正极和负极。 2.注意CD4012和ULN2003AN两种芯片内的门电路单独使用,看清每一门电 路对应的输入和输出端。 3.电路中必须连接好电源线和接地线,注意CD4012和ULN2003AN要连接电 源端和接地端。 4.CD4012是四个输入的与非门,电路图中的与非门有两个输入的和三个输入 的,所以在焊接的时候要注意两个输入的与非门要将芯片的其中一个门电路的输入端两个两个的连接在一起作为两个输入端,三个输入的与非门将其中两个输入端连接在一起作为一个输入端,另外两个单独作为输入端,就有了三个输入了。

数字电路设计——抢答器

《数字电路》课程设计报告 设计题目:优先抢答器 学生姓名:学号: 教师姓名:日期: 一、设计任务 讨论课的11个小组,设计一个优先抢答器,并用两个7段码显示组号。 ①只显示第一个抢答组的号码; ②同时显示前两个抢答组的号码(双优先); 二、电路原理 整个电路分为三个部分:输入、处理、输出。输入由11个开关构成,当开关按下时代表该组发出抢答申请。为了节省芯片的使用及锁存功能的实现,处理与输出用CD4511串联共阴数码管实现。 CD4511 是一片 CMOS BCD—锁存/7 段译码/驱动器,用于驱动共阴极 LED (数码管)显示器的 BCD 码-七段码译码器。具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动共阴LED数码管。 真值表如下:

CD4511输入中D为最高位,可以输出0~9,且具有锁存功能(可以节省一个锁存器的使用)。 输入电路: 输入有主次之分,1、2、4、8为主:直接连接A、B、C、D,剩余的数用以上4个数表示(比如7为=1+2+4=111,因此7组的开关有三个分支,分别与1、2、4所在线相连。) 由于在1、2、4、8导线连了所有的开关,如果一个开关闭合,则1、2、4、8都可能导通,因此为了避免输入间相互干扰,在每个开关后需加上二极管。 处理及输出电路: 由于CD4511可直接驱动共阴数码管,所以CD4511与数码管组成处理及输出电路。具体输出原理见上文对CD4511的描述。 锁存功能的实现: 当有一个输入时马上锁存:判断是否有输入用5个或门实现,然后接LE 端。为了实现信号的同步性,使用了延时器使经过或门处理后的信号延时保持一致。 三、电路仿真和结果分析 表1 器件及其参数

四位原码乘法器

1.课程设计的内容和要求 内容:设计四位原码乘法器电路。 要求:1.有关资料,设计乘法器电路; 2.画出乘法器逻辑图; 3.在实验箱上完成乘法器电路的组装,调试,核对记录,测试有关数据, 通过老师当场验收; 4.完成课程设计报告。 1.课程设计原理 运用存储器的存储功能实现数字的存储。令电路的初始状态为000,000,000000。以二进制的形式输入数字,计算方式是以十进制数字乘法。输入的数字为三位数字,输出的是六位数字。先存储输入的乘数和乘积,然后再将乘积的导线端连到输出段,此时之前输入的乘积就可以在输出端显示。 此时序电路的真值表为:

1.课程设计思路 本次课程设计的题目为四位原码乘法器,利用真值表输入乘数时,需要存放数字,于是我查阅了一些资料,用存储器可以实现这一电路,所以本实验中用到的是INTEL 2114芯片。 具体实现过程如下图: a a b b F 32F 1 1.课程设计所需的器材 1.2114是一个容量为1K4位的静态RAM芯片,常用于寄存器。 其具体的引脚图为: 此芯片的电路图为: 2.数字电路实验箱 3.导线若干 1.课程设计实现 本次课程设计的题目是四位原码乘法器电路。 此部分只用到了2块INTEL2114芯片,具体连接如下: 1、先将这些芯片按在电路板上(注意不要插反,否者容易烧毁芯片)。 2、将两片芯片的A6和GND端,A7,A8,A9接地。 3、Vcc端接电压5V,cs接存储端,WE端接控制端。 4、两块芯片的A5,A4,A3组成一个乘数,A0,A1,A2组成另一个乘数。其中一块芯

片的I/O1,I/O2,I/O3,I/O4和另一块芯片的I/O1,I/O2组成要求的乘积。乘数与乘积的显示方式均为二进制,但是计算方法是以十进制数的乘法法则计算。 1.调试步骤及方法 在连接实验器件之前,要先检查如下实验器件: 1、检查芯片引脚是否有损坏。 2、检查电路板是否好用。 连接实验器件时要注意: 2严格按照电路图一步一步连接,以避免连接错误。 3导线要先连接电源测试是否导电。 连接好电路进行数据测试,输入001,010,000010,存储;001,101,000101,存储;001,111,000111,存储。将连在输入端的四个输出连接到输出端,并输入001,010,但是结果并不是000010,而是000100;再输入001,101,也没有得到000101的结果,而是000110的结果。检查线路,发现输出的线路错位,纠正后重新输入乘数,结果均得到计算结果。调试成功。 1.实验结果 连接好整个电路。A5A4A3和A2A1A0为输入端,即乘数,F5F4F3F2F1F0为输出端,即乘积。如下表: 8. 课程设计结果 输入000,000,000000,存储;

三人表决器电路的设计与安装

三人表决器电路的设计与安装

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路,74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

根据真值表,我们可以写出输出函数的与或表达式,即: 对上式进行化简,得: 将上式变换成与非表达式为: 故,根据输出逻辑表达式,我们可以画出逻辑图为: 5.2、三人表决器电路原理图(仿真图) 我们用发光二极管的状态来表示表决结果通过与否,当发光二级管点亮表示表决结果通过,熄灭表示表决结果不通过。三人A、B、C的表决情况用按钮来实现,按下按钮表示同意,不按表示不同意。 根据上述说明,结合前面的逻辑电路,可得到三人表决器的原理图(仿真图)为: 5.3、三人表决器电路的安装与调试 按安装电路图完成电路的组装后,通上+5v电源,按下输入端A、B、C 的按钮进行不同的组合,观察发光二极管的亮灭,验证电路的逻辑功能。如果 输出结果与输入中的多数一致,则表明电路功能正确,即多数人同意(电路中 用“1”表示),表决结果为同意;多数人不同意(电路中用“0”表示),表决 结果为不同意。 5.4、三人表决器产品正面实物图及反面焊接图 5.5、三人表决器产品实验现象与结果分析 实验现象:当电路板焊接完成后,通上+5v电源,分别同时按下按钮S1、 S2,S2、S3,S1、S3或S1、S2、S3,观察到发光二极管均能点亮,且当分别只按下

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

数字电子设计_八路抢答器介绍

数字电子技术 课程设计任务书 专业 班级 姓名 学号 指导老师 年月日 学院

目录 摘要 第一章设计技术要求 第二章系统的组成框图及工作原理第三章单元电路设计 1.1 抢答电路的设计 1.2 定时电路的设计 1.3 报警电路的设计 1.4 时序控制电路的设计 第四章整机电路的设计 第五章元件清单 第六章参考文献 第七章设计总结

摘要 进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。 主要介绍了数码显示八路抢答器电路的组成、设计及功能,电路采用74系列常用集成电路进行设计。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能,若超过抢答时间则抢答无效。 该抢答器主要运用到了编码器,译码器和锁存器:它采用74LS148来实现抢答器的选号,采用74LS279芯片实现对号码的锁存,采用74LS192实现十进制的减法计数,采用555芯片产生秒脉冲信号来共同实现倒计时功能。 关键词: 抢答器编码译码定时报警 第一章设计技术的要求 (1)设计8路抢答器,编号与参赛选手一一对应。 (2)具有优先显示抢答序号及时间的功能并禁止其他选手的抢答。(3)主持人预置抢答时间,控制比赛的开始与结束。 (4)报警电路:主持人按下“开始”键时报警并进入抢答状态;当抢答者发出抢答信号时报警提示;在规定抢答终止时间到时报警。 第二章系统的组成框图及工作原理 抢答器的组成框图

七人表决器

学院名称电子技术基础课程设计报告 七人表决器电路设计报告 学生姓名__ _____ 学号 专业 指导教师 系别__ _ 年月日

一、评语(根据学生答辩情况及其报告质量综合评定)。 二、评分 指导教师签字: 年月日

摘要 本次设计的七人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。表决时,与会的有关人员只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,相应灯的明亮即显示出表决结果。在七人表决器中七个人分别用手指拨动开关 SW1、SW2、SW3、SW4、SW5、SW6、SW7 来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方)不同意就把自己的指拨开关拨到低电平(下方)。表决结果用 LED(高电平亮)显示,如果决议通过那么发光二极管会发亮;如果不通过那么发光二极管就不亮;如果对某个决议有任意四到七人同意,那么此决议通过,发光二极管就会发亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,发光二极管就不会亮。根据设计与制作的主要内容按照设计题目,以及所学的组合逻辑所学的知识及数字电路和嵌入式的知识完成七人表决器的设计,使之能够满足表决时少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。首先根据七人多数表决电路列出真值表,进行化简,写出逻辑表达式,画出逻辑图。

目录 1 概述 (1) 2 系统总体方案及硬件设计 (2) 2.1电路的总体原理框图 (2) 2.2元件选择 (2) 3 各模块设计 (3) 3.1投票按键部分电路设计 (3) 3.2输入转换部分及控制电路 (3) 3.3票数统计部分及控制电路 (4) 3.4票据分析与结果显示分 (5) 3.5总体电路 (7) 4 软件仿真 (8) 5 课程设计体会 (9) 参考文献(按照标准格式) (10)

8路数字抢答器设计说明

简易8路数显抢答器: 简单实用的八路数显抢答器,主要包括抢答、编码、优先锁存、数显、复位及音频振荡等电路。元器件主要包括 CD4511.N E555、IN 4148、三极管(901 4)、LED 共阴极数码管、扬声器、小型按钮开关及电阻电容等。 说明: 抢答数显电路: J1~J8八个按钮开关组成抢答键。D1~D12十二个二极管组成编码器,将抢答键按对应的BCD 码进行编码,并将所得的高电平加在CD4511所对应的输入端。CD4511是一块含BCD —七段锁存/译码/驱动电路于一体的集成电路。CD4511的 1、2、 6、7脚为BCD 码输入端,9~15脚为显示输出端。3脚为测试端(LT),当L T 为“0 ”时,输出全为“1 ”。4脚为消隐端(B I ),当B I 为“0 ”时,输出全为“0 ”,因此此时可以清除锁存器内的数值,即可使用为复位端。5脚为锁存允许端(L E ),当L E 端由“0 ”→“1 ”时,a 、b 、c 、d 、e 、f 、g 七个输出端保持在LE 为“0”时所加BCD 码对应的数码显示状态。 16、8脚分别接电源正负极。由CD4511的引脚图可知, 6、2、 1、7脚分别代表BCD 码的 8、4、

2、1位。按下对应的键,即可得到 0001、0010、 0011、0100、 01、0110、 0111、1000八个一系列的BCD 码。高电平加在CD4511对应的输入端上,便可以由其内部电路译码为十进制数在数码管上显示出来。优先锁存电路由两个二极管( D13、D14)、一个三极管(VT)、两个电阻及CD4511的锁存允许端(LE)完成。在初始状态或复位后的状态时,CD4511输入端都与一个电阻(10K)串联接地,所以此时BCD码输入端为“00”,则CD4511输出端a、b、c、d、e、f 均为高电平,g 为低电平,且数码显示为“0”。而当d 为高电平,三极管(VT)导通及g 为低电平时, D13、D14的正极均为低电平,使CD4511的LE 端为低电平“0”,可见,此时没有锁存即允许BCD码输入。而当任一抢答键按下时,由数码显示可知,CD4511输出端d 输出为低电平或输出端g输出为高电平,两个状态必有一个存在或着都存在。迫使CD4511的LE端,由“0”→“1”,即将首先输入的BCD 码显示的数字锁存并保持。此刻,其它按键编码就无法输入,从而达到了抢答的目的。音频振荡电路为NE555组成的多谐振荡器推动扬声器发出讯响声。四只二极管(IN4148)组成二极管或门电路分别接CD4511的 1、2、 6、7引脚,为NE555提供电源+Ucc,即任何抢答键按下时,扬声器都能发出报警声。元器件清单 序号910元件名称 电阻 电阻

数字电路逻辑设计数字竞赛抢答器

数字电路逻辑设计课程设计 设计名称数字竞赛抢答器 专业班级 学号 姓名 指导教师

太原理工大学现代科技学院 课程设计任务书 注:1.课程设计完成后,学生提交的归档文件应按照:封面—任务书—说明书—图纸的顺序进行装订上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 指导教师签名:日期:

专业班级 学号 姓名 成绩 1.1设计目的 有许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时,还可以设置记分、犯规及奖惩记录功能。 (1). 了解抢答器的设计原理 (2). 掌握其外围电路的设计与主要性能参数测试方法 (3). 掌握抢答器的设计方法与电子线路系统的装调技术 1.2设计要求内容和步骤 1.2.1设计要求 设计竞赛抢答器,要求: (1)设计制造一个可容纳六组参赛的数字式抢答器,每组设置一个抢答按钮供抢答者使用。 (2)电路具有第一抢答信号的鉴别和锁存功能。 (3)设置记分电路。每组在开始预置成100分,抢答后由主持人记分,答对一次加10分,否则减10分。(选做) (4)设置犯规电路。对提前抢答和超时抢答的组别鸣喇叭示警,并由组别电路显示出犯规组别。(选做) 1.2.2设计步骤 1、根据选题要求,进行方案比较,画出系统框图,进行初步设计。 2、设计单元电路,计算参数,选择元器件。 3、画出系统电路原理图。 4、利用EWB 软件对原理图进行仿真,修改设计中的疏漏。 5、现场安装调试。 6、撰写课程设计说明书。 2.1 电路的基本功能要求及原理方框图 2.1.1基本功能要求 1:设计一个智力抢答器抢答器,能同时供6名选手或6个代表队比赛,他们的编号分别是1,2,3,4,5,6各用一个按纽,按纽编号与选手的编号相对应,分别用6个按钮S1-S6表示。 2: 给主持人设置一个系统清除和抢答开始的控制开关S 。 3:抢答器具有锁存与显示功能。即抢答开始后,若选手按动按钮,锁存器立即锁存相应的选手编号,并在LED 数码管上显示选手的编号(1-6),同时扬声器发出声响提示。选手抢答实行优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清除为止。 4:抢答器具有定时抢答功能,且一次抢答的时间为30秒,当主持人启动"开始"键后,定时器立刻倒计时,若30秒时间内有选手抢答,则显示器显示倒计时时间,并显示,保持到主持人将系统清除为止。 5:参赛选手在设定的时间内进行抢答有效,超过时间抢答无效,定时器停止工作,定时显示器显示00。 ……………………………………装………………………………………订…………………………………………线………………………………………

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字电路——三人表决器

数电设计实验报告 三 人 表 决 器

[设计题目] 三人表决器的设计与装配 [设计要求] 1.熟悉各元件的性能和设置元件的参数。 2.对电路图的原理进行分析,并对原理图进行改良,弄清楚电路的工作原理。 3. 元件安装符合工艺要求,既考虑其性能又应美观整齐。 焊接元件要注意焊点的圆润。 4.对元件的性能进行评估和替换、用性能和使用范围更好, 更常用的元件进行替换,使自己实际的元件更接近实际使用。 5 .学习数字逻辑电路的设计方法。 6. 熟知74LS138和74LS20芯片各引脚的功能及内部结构。 7.学会使用74LS138和74LS20芯片。 8.学会真值表与逻辑表达式及卡诺图之间的转换,能根据 化简后的逻辑表达式画出逻辑电路。 [电路介绍] 三人表决器主要由一个3-8位译码器(74LS138)和2个4输入与非门(74LS20)组成。通过三个按钮接受用户

输入。按钮按下表示同意,不按下表是否决,当没有人按下按钮时,或只有一个人按下按钮时,例如,S1按下,而S2和S0未按下,则红灯亮,绿灯灭,蜂鸣器无声音,表示否决,当有两个人及以上的人按下按钮后,例如,S1和S2按下,则红灯灭,绿灯亮,蜂鸣器发音,表示通过。 [电路原理] [元器件清单]

[电路真值表] 注(1表示同意、灯亮) (0表示不同意、灯不亮)

[PC板及实物图]

[电路的调试:] 电路的结果分析:在焊接是尽可能避免线路的交叉,不要把焊电焊的过大,相邻焊盘绝缘的一定不能连接在一起。 [设计心得体会] 通过这次实验,我从中收获了很多,懂得了对电路的进一步分析,也从而巩固和提高电路的设计能力、元件的选择及检测的能力等,同时也对我们所学的知识的掌握,通过本次实验我学会了逻辑表达式图之间的转换,通过检测电路使我们对电路有了更深的了解.

8路数字抢答器课程设计报告

学号: 课程设计 题目八路数字抢答器 学院信息工程学院 专业通信工程 班级 姓名 指导教师 2010年 7月 9日

课程设计任务书 题目:八路数字抢答器 起始条件:要求对数字电路里555电路的运用有所了解,同时熟悉计数电路和译码电路的运用,还要能够运用Multisim软件进行电路的仿真。 要求完成的主要任务: 1.运用数字电路设计一个能够满足特定要求的八路抢答器 2.绘制电路原理图并进行仿真,要求在报告中画出正确的波形。3.按照所画的原理图,在仿真的基础上焊接实物并进行调试。 时间安排: 编号 任务时间 1 查资料、原理图设计3天 2 仿真电路并修改 3天 3 实物焊接与调试5天 4 报告撰写 2天 5 答辩1天 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 课程设计任务书 (2) 摘要 (4) Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 3.1 总体方案设计 (7) 3.1.1 设计思路 (7) 3.1.2 总电路框图 (7) 3.2 各模块设计方案及原理说明 (8) 3.2.1 抢答电路 (8) 3.2.2 倒计时电路 (12) 四、电路仿真 (13) 4.1 抢答电路 (13) 4.2 倒计时电路 (15) 五、实验结果及分析 (17) 六、收获、体会和建议 (19) 附录 (21) 1.总电路图 (21) 2. 元件引脚图 (22) 3.元器件清单 (24) 主要参考文献 (25)

摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim11完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真

数字逻辑电路课程设计抢答器

西安邮电学院 数字电路课程设计报告书 ——数字抢答器 学院名称:电子工程学院 学生姓名: 专业名称: 班级: 实习时间: 数字电路课程设计 ------------数字抢答器 一、课程设计题目 数字式抢答器 二、设计任务和要求 1.抢答器同时可供4路参赛选手同时抢答,分别用4个按钮S0~S3来控制。

2. 设置一个主持人开关,用来控制抢答的开始和结束。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为10秒。当主持人启动"开始"键后,定时器采用倒计时计数到0。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,绿灯亮,数码管上显示选手的编号和剩余抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到(计数至0),有人抢答,则为超时抢答。红灯亮,并在数码管管上显示该抢答选手。 7.如果主持人未启动“开始”键,有人抢答,为提前抢答。显示其号码,此时红灯亮提示。 三、数字抢答器总体方案 1. 接通电源后,主持人将开关拨到"高电平"状态,抢答器处于禁止状态,编号显示器显示为0,定时器显示为0。此时, 若有人抢答, 为违规抢答数码管显示其编号, 并红灯警告.定时器显示不变。 2.主持人将开关置于”开始”状态,宣布"开始"抢答,抢答器工作, 定时器倒计时, 选手在定时时间内抢答时,抢答器完成, 编号锁存, 编号显示, 绿灯亮表示抢答有效。

3.若在10秒内无人抢答,10秒后抢答器自动锁定,计数器停止计数,抢答无效。如果再次抢答必须由主持人再次操作"开始"状态开关。 四、单元电路设计 1.抢答器电路的设计 (即完成锁存和显示功能) (1)抢答, 锁存电路: 在这一部分,最主要的是锁存电路,锁存电路主要由74LS75来实现,当74LS75的4,13号管角的信号为使能端,当为“0”时,它将保持原来的状态: 74LS75的管脚图和真值表: 有一组队员按下开关后,Q1,Q2,Q3,Q4中有一个信号为0,则它们四个通过与门后的信号为0,接入E12和E34,7475实现锁存功能,保持状态不变。 对于译码电路,当那个队员抢上后,要显示他的组号,必须把次信号转换为二进制代码。其关系为: 由上真值表知: A= ~Q0 + ~Q2; B= ~Q1+ ~Q2;

数字系统设计实验三

实验四简易数字钟下载 1、实验目的 1)学习掌握数字系统综合设计方法。 2)学习掌握层次设计方法。 3)学习掌握设计下载方法。 4)学习掌握实验系统使用方法。 2、实验原理 数字钟是对输入时基秒脉冲进行计数,依次输出秒数值、分数值、小时数值,从而确定时钟时间,其原理框图如下图所示。 Image 简易数字钟原理图 简易数字钟原理图 实际的数字钟设计中还需要增加年月日的功能,这里框图中

也省略了校时功能的结构。 3、实验内容 1)选择XC2S200PQ208器件建立一个新的工程。 2)在上述工程中,采用VHDL语言的方法设计上述简易数字钟。 3)参考实验系统使用说明,按下列要求锁定引脚。秒、分钟、小时由实验系统的J1、J2输出,显示输出的时分秒间隔一位数码管。时钟输入由J7的1脚输入。 4)下载编程并验证设计结果。 4、实验设备 1)清华同方PⅣ 2.4G\256M60G 2)ISE 6.2i—Windows软件系统 3)多功能EDA实验系统(V型) 5、实验步骤 1)写出简易数字钟的设计程序。 2)画出简易数字钟的仿真波形。 3)将程序下载到芯片中。 a.首先点击菜单Assignments->Device,选择Device family->Cyclone IV E,然后选择芯片型号Available device->EP4CE6F17C8。 b.进行管脚锁定,选择菜单Assignments->Pin Planner,在Location列下为输入\输出变量选择对应的管脚进行锁定。 c.选择快捷菜单进行编译Start Compilation,生成下载文件。 d.点击Tools->Programmer e.点击Add Device,在Device->Cyclone IVE中,选择Device

相关文档
相关文档 最新文档