文档库 最新最全的文档下载
当前位置:文档库 › 简化的8088CPU逻辑功能的仿真实现论文

简化的8088CPU逻辑功能的仿真实现论文

简化的8088CPU逻辑功能的仿真实现论文
简化的8088CPU逻辑功能的仿真实现论文

简化的8088CPU逻辑功能的仿真实现

摘要

“虚拟实验平台”主要采用以“软”代“硬”和“虚”、“实”结合的设计思路,强调实用性,以最大限度地仿真真实的实验环境。主要实现了“专用实验台”的虚拟化,将它“搬”到了“微机”的显示器上,而原有在微机上的实验操作环境和方法仍然保持不变。系统还提供必要的测试仪器和工具(如示波器等),以便在实验调试时能够对运行结果进行监视或测量,如输出电平或波形,这种虚拟的测试仪器和工具也在界面和操作方面做到了尽可能的逼真。本文介绍了该虚拟试验平台的整体功能特点,主要介绍了8088CPU的结构,工作方式,以及如何用VC实现这些具体功能的编程方法。并加入了一些程序片段,对完成工作中遇到的困难也做了些总结。

关键词:仿真试验平台;8088CPU;VC;封装;寄存器;移植

Implementation of simplified model 8088 CPU’s Logic

Function

Abstract

"Virtual platform" principal use "soft" to instead of "hard" and "superficial", "real" combination of design ideas, emphasize practicality, to maximize the simulation of the real environment. Major implementation of the "exclusive Experimental Station," the virtual, it will be "moved" to the "PC" display, The original PC in the lab environment and methods remain unchanged. The system also provides the necessary test equipment and tools (such as oscilloscopes, etc.) Experimental testing in order to be able to run when the results of surveillance or measurements, such as the output level or waveform, This virtual testing equipment and tools also interface and the operation done in a realistic as possible. This paper introduces the Virtual Test platform overall features, mainly on the structure of the 8088 CPU, work methods, and how to use VC these specific functional programming method. And the inclusion of some procedures footage completed work on the difficulties encountered also made some conclusion.

Key words:virtual experement platform;8088CPU8; VC; encapsulation;register;port

目录

论文总页数:241页1 概述 (1)

1.1课题背景及意义 (1)

1.2项目介绍 (1)

1.2.1虚拟化技术简介 (1)

1.2.2 CPU虚拟化技术简介 (2)

1.3项目要求 (2)

2 VC++6.0的编程 (2)

2.1 MFC编程 (2)

2.2 DLL相关知识 (2)

3 8088CPU介绍 (3)

3.1. 概述 (3)

3.2 8088CPU引线及其功能 (3)

3.3 8088CPU的内部结构 (4)

3.4 时序 (5)

3.5 简化的8088CPU模型概述 (5)

3.6移植bochs仿真实现8088CPU功能的基本思路 (7)

4 Bochs介绍 (7)

4.1 Bochs概述 (7)

4.2 类 BX_CPU_C 介绍 (7)

4.2.1 主要的数据成员 (7)

4.2.2 主要的成员函数 (8)

4.3 BX_CPU_C 类对CPU工作流程的简易描述 (9)

4.4 基本内存系统 (11)

4.4内存的访问 (12)

4.5 Bochs 时钟系统 (14)

4.6 Bochs中断系统 (15)

5 具体移植实现 (17)

5.1 虚拟实验平台统一的器件模型 (17)

5.2 CPU类的主要功能及实现方法 (17)

5.2.1 修改cpu loop (18)

5.2.2 修改插库 (18)

结论 (18)

参考文献 (19)

致谢 (20)

声明 (21)

简化的8088CPU逻辑功能的仿真实现

1 概述

1.1课题背景及意义

过去当人们在开发一个项目时可能会遇到以下问题(1)经常苦于经费不足,缺少足够的硬件开发板和完善的软件开发环境,相关的书籍对一些最新软件的分析还不够全面(2)高层次的软件设计和开发一般不用过多考虑底层硬件的实现细节,如果直接处于一具体的硬件环境下,在开发和研究中可能会陷入硬件的具体细节中不能自拔,而不能把精力放到高层次的软件设计和开发上。(3)如果硬件开发环境不太稳定(这种情况经常见到),且对身体的硬件不是很了解,则可能在排除问题上花费大量不必要的时间,所以人们利用仿真技术来解决这些问题以到达节约人力物力的目的。

1.2项目介绍

1.2.1虚拟化技术简介

从1965年IBM在7044机上首次实现虚拟技术以来,这一名词对于计算机世界来说已经不是一个新名词。虚拟化是一个广义的术语,在计算机方面通常是指计算元件在虚拟的基础上而不是真实的基础上运行。虚拟化技术可以扩大硬件的容量,简化软件的重新配置过程。虚拟化技术与多任务以及超线程技术是完全不同的。多任务是指在一个操作系统中多个程序同时并行运行,而在虚拟化技术中,则可以同时运行多个操作系统,而且每一个操作系统中都有多个程序运行,每一个操作系统都运行在一个虚拟的CPU或者是虚拟主机上。

当我们尝试着理解虚拟技术时,首先必须要理解“虚拟”的概念。“虚拟”这个词最早来源于光学,用于理解镜子里的物体。现在,“虚拟”这个词已经经过演化,用来描述任何真实物体的模拟了,例如分区、虚拟机、虚拟内存、虚拟磁盘和虚拟现实。在讨论虚拟技术的时候,使用“虚拟”这个词,是因为我们希望虚拟机看起来和工作起来都和真正的机器一模一样。这意味着,虚拟机并不是真正的机器,但是它能像真正的机器一模一样地工作。

实际上,从原理上看,所有虚拟技术虚拟的是指令集。所有的IT设备,不管是PC、服务器还是存储,都有一个共同点:它们被设计用来完成一组特定的指令。这些指令组成一个指令集。对于虚拟技术而言,“虚拟”实际上就是指的这些指令集。虚拟机有许多不同的类型,但是它们有一个共同的主题就是模拟一个指令集的概念。每个虚拟机都有一个用户可以访问的指令集。虚拟机把这些虚拟指令“映射”到计算机的实际指令集。

虚拟化技术也与目前VMware Workstation等同样能达到虚拟效果的软件不同,是一个巨大的技术进步,具体表现在减少软件虚拟机相关开销和支持更广泛的操作系统方面。

按照虚拟层所处位置的不同,目前所有的虚拟技术大致可以分为硬件虚拟、逻辑虚拟、

第 1 页共21 页

软件虚拟和应用虚拟四种类型。

1.2.2 CPU虚拟化技术简介

CPU的虚拟化技术是一种硬件方案,支持虚拟技术的CPU带有特别优化过的指令集来控制虚拟过程,通过这些指令集,VMM会很容易提高性能,相比软件的虚拟实现方式会很大程度上提高性能。虚拟化技术可提供基于芯片的功能,借助兼容VMM软件能够改进纯软件解决方案。由于虚拟化硬件可提供全新的架构,支持操作系统直接在上面运行,从而无需进行二进制转换,减少了相关的性能开销,极大简化了VMM设计,进而使VMM能够按通用标准进行编写,性能更加强大。另外,在纯软件VMM中,目前缺少对64位客户操作系统的支持,而随着64位处理器的不断普及,这一严重缺点也日益突出。而CPU的虚拟化技术除支持广泛的传统操作系统之外,还支持64位客户操作系统。

虚拟化技术是一套解决方案。完整的情况需要CPU、主板芯片组、BIOS和软件的支持,例如VMM软件或者某些操作系统本身。即使只是CPU支持虚拟化技术,在配合VMM的软件情况下,也会比完全不支持虚拟化技术的系统有更好的性能。

1.3项目要求

综合运用以前所学的专业知识,设计开发一个简化的8088CPU功能模拟的演示软件;在设计与开发中,将CPU与存储器整合在一起的模型,1.实现CPU的指令系统 2. 实现CPU 的时序逻辑

2 VC++6.0的编程

2.1 MFC编程

MFC用类编写Windows应用程序的C++类集,以层次结构组织起来,其中封装了大部分Windows API函数和 Windows控件,而基础的虚拟平台就是在次基础之上而开发出的。使用MFC类库和Visual C++提供的高度可视的应用程序开发工具,可是应用程序开发变的更简单,开发周期极大地缩短,提高代码的可靠性和可重用性。它提供的类库对程序设计的高度抽象,使得程序员不用放在程序设计的具体细节上,而是对功能的扩展上,大大简化了开发工作。在开发过程中,我们所做的就是编写封装器件的程序,由平台调用之来显示出控件,除了编写一些相关消息响应函数外,大部分工作由系统完成。

2.2 DLL相关知识

比较大的应用程序都由很多模块组成,这些模块分别完成相对独立的功能,它们彼此协作来完成整个软件系统的工作。可能存在一些模块的功能较为通用,在构造其它软件系统时仍会被使用。在构造软件系统时,如果将所有模块的源代码都静态编译到整个应用程

第 2 页共21 页

第 3 页 共 21 页

序 EXE 文件中,会产生一些问题:一个缺点是增加了应用程序的大小,它会占用更多的磁盘空间,程序运行时也会消耗较大的内存空间,造成系统资源的浪费;另一个缺点是,在编写大的 EXE 程序时,在每次修改重建时都必须调整编译所有源代码,增加了编译过程的复杂性,也不利于阶段性的单元测试。Windows 系统平台上提供了一种完全不同的较有效的编程和运行环境,你可以将独立的程序模块创建为较小的 DLL (Dynamic Linkable Library) 文件,并可对它们单独编译和测试。在运行时,只有当 EXE 程序确实要调用这些 DLL 模块的情况下,系统才会将它们装载到内存空间中。这种方式不仅减少了 EXE 文件的大小和对内存空间的需求,而且使这些 DLL 模块可以同时被多个应用程序使用。Windows 自己就将一些主要的系统功能以 DLL 模块的形式实现。

3 8088CPU 介绍

3.1. 概述

8088是8080和8085的改进型,像8080和8085一样,它的指令是以字节为基础构成的。它的性能的提高,主要依赖于采取了以下一些特殊措施。 1. 建立4字节的指令预取队列 2. 设立地址段寄存器

3. 在结构上和指令设置方面支持多微处理器系统 一般处理器与8088CPU 处理器指令过程见图表1

图表 1 一般处理器与8088

处理器指令执行过程对比

(a)一般处理器指令执行过程;(b)8088处理器指令执行过程

3.2 8088CPU 引线及其功能

8088CPU 是一块具有40条引出线的集22所示。为了减少芯片的引线,有许多引线具有双重定义和功能,采用分时复用方式工作,即在不同时刻,这些引线上的信号是不相同的,其管脚引线如图表2。

(a)

(b)

图表 2 8088处理器芯片引线图

3.3 8088CPU的内部结构

8088微处理器内部分为两个部分:执行单元(EU)和总线接口单元(BIU),如图表3所示。

图表 3 8088CPU的内部结构

8088处理器中的内部寄存器用户能用指令改变其内容的,主要是一组内部寄存器,其结构如图表4所示。

1)数据寄存器

第 4 页共21 页

第 5 页 共 21 页

8088有4个16位的数据寄存器,可以存放16位的操作数。 2) 指针寄存器

8088的指针寄存器有两个:SP 和BP 。SP 是堆栈指针寄存器,由它和堆栈段寄存器一起来确定堆栈在内存中的位置。BP 是基数指针寄存器,通常用于存放基地址,以使8088的寻址更加灵活。

3)变址寄存器

SI 是源变址寄存器,DI 是目的变址寄存器,都用于指令的变址寻址。 4)控制寄存器

8088的控制寄存器有两个:IP ,PSW 。IP 是指令指针寄存器,用来控制CPU 的指

令执行顺序。

数据寄存器

AX BX CX

DX 堆栈指针基数指针源变址目的变址指令指针状态标志代码段数据段堆栈段附加段

指针寄存器指针寄存器

控制寄存器

段寄存器

通 用寄存器

图表 4 8088CPU 的内部结构

3.4 时序

在8088CPU 中,CPU 与内存或接口间进行通信,如将一个字节写入内存一个单元(或接口),或者从内存某单元(或某接口)读一个字节到CPU ,这种读(或)写的过程称为一个总线周期。

3.5 简化的8088CPU 模型概述

本设计的设计目标是设计一个简化的8088CPU 模型,要求模型体现8088CPU 的主要

功能,省略掉其很多繁琐的细节。基于此考虑,简化模型主要从如下几个方面对8088CPU 作了简化:

1 取消8088里的取指令、执行指令流水线

2 取消8088里的指令预取对列

3 取消8088里管脚的分时复用功能

4 将存储器与CPU 融合,简化存储器读写总线周期

5 对8088的管脚也重新进行了设置。

管脚设置如图表5所示,CPU 内部功能结构如图表6所示:

第 6 页 共 21 页

图表 4简化8088CPU 管脚分布

图表 5 简化8088CPU 内部功能结构

3.6移植bochs仿真实现8088CPU功能的基本思路

CPU指令系统和时序逻辑是本设计难点,而我们解决难点采取的办法是移植虚拟机bochs,它包含了8088下所有CPU指令的仿真函数实现。而且还专门预留了一个插槽库供扩展Bochs使用。Bochs很庞杂,也很少文献资料,了解Bochs成为我们前期的工作。

4 Bochs介绍

4.1 Bochs概述

Bochs是一个X86的模拟器,它可以模拟几乎所有类型的X86 CPU,包括16位,32位和64位(X86_64)。一个64位的X86可以看作是32位和16位X86的超集。X86_64的工作方式包括以下两类:

1,IA-32模式:包括实模式,保护模式和虚拟8086模式三个子模式。

2,IA-32e模式:包括长模式和兼容模式。

在Bochs中,用BX_CPU_C类来模拟CPU,它支持上面提到的每一种模式。

4.2 类 BX_CPU_C 介绍

4.2.1 主要的数据成员

char name[64],代表CPU的名字。

unsigned bx_cpuid,CPU的ID号,用于SMP(对称多处理)的机器。

bx_gen_reg_t gen_reg[BX_GENERAL_REGISTERS],bx_gen_reg_t是一个复杂的结构体,它表示一个64位的通用寄存器,其主要成员有:rrx:表示一个完整的64位寄存器。hrx、erx:分别表示64位寄存器的高32位与低32位,这时候64位的寄存器被分割成2个32位寄存器使用。

rx:表示erx的低16位,此时寄存器被当作16位寄存器使用。

rh、rl:分别表示rx的高8位与低8位,此时rx被分割成2个8位寄存器。

gen_reg就是一个代表通用寄存器的数组,BX_GENERAL_REGISTERS是寄存器的个数,如果模拟的是64位处理器,那么BX_GENERAL_REGISTERS值为16,否则值为8。64位时通用寄存器分别是RAX、RCX、RDX、RBX、RSP、RBP、RSI、RDI、R8~R15;32位时没有R8~R15 。

Bit64u rip; Bit32u eip;

分别是64位模式和32位模式下的指令指示器。

bx_segment_reg_t sregs[6];

第7 页共21 页

数字逻辑电路小论文

数字逻辑论文 摘要:随着数字逻辑技术的发展,数字逻辑电路也逐步应用于我们生活的方方面面。在数字机顶盒,数字电冰箱,数字洗衣机等领域均有所体现。本文将大体介绍数字逻辑电路的发展历程、分类方法、数值、用途与特点,最后详细介绍数字逻辑电路的实际应用。 一.数字电路的发展历程与分类方法 数字电路的发展:数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。逻辑门是数字电路中一种重要的逻辑单元电路。TTL逻辑门电路问世较早,其工艺经过不断改进,至今仍为主要的基本逻辑器件之一。随着CMOS工艺的发展,TTL的主导地位受到了动摇,有被CMOS器件所取代的趋势。近年来,可编程逻辑器件PLD特别是现场可编程门阵列FPGA的飞速进步,使数字电子技术开创了新局面,不仅规模大,而且将硬件与软件相结合,使器件的功能更加完善,使用更灵活。 数字逻辑电路分类: 1、按功能来分: (1)组合逻辑电路:简称组合电路,它由最基本的的逻辑门电路组合而成。特点是:输出值只与当时的输入值有关,即输出惟一地由当时的输入值决定。电路没有记忆功能,输出状态随着输入状态的变化而变化,类似于电阻性电路,如加法器、译码器、编码器、数据选择器等都属于此类。 (2)时序逻辑电路:简称时序电路,它是由最基本的逻辑门电路加上反馈逻辑回路或器件组合而成的电路,与组合电路最本质的区别在于时序电路具有记忆功能。时序电路的特点是:输出不仅取决于当时的输入值,而且还与电路过去的状态有关。它类似于含储能元件的电感或电容的电路,如触发器、锁存器、计数器、移位寄存器、储存器等电路都是时序电路的典型器件。 2、按电路有无集成元器件来 可分为分立元件数字电路和集成数字电路。 3、按集成电路的集成度进行分类

数字逻辑课程设计数字时钟课程设计数电课程设计数字电子技术

数字逻辑课程设计 自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲 究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。然而随着时 间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的

功能。诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。在很多实际应 用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统, 从而应用到实际工作与生产中去。因此,研究数字时钟及扩大其应用,有着非常现实的意义。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路?目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择? 前言 (2) 目录 (2) 题目 (2) 摘要 (2) 关键字 (3) 设计要求 (3) 正文 (3) 1电路结构与原理图 (3) 2数码显示器 (3) 60进制计数和24进制计数 (4) 校时 (7) 振荡器 (8) 3.计算、仿真的过程和结果 (9) 鸣谢 (11) 元器件清单 (11) 参考文献 (11) 总结与体会 (11) 教师评语 (12) 数字时钟的课程设计 摘要: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高 的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前, 数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。本设计采用74LS290. 74LS47.BCD七段数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时 功能地实现?

课程设计-数字逻辑课程设计

数字电路课程设计(学年设计、学年论文)任务书 学院计算机与信息工程学院专业网络工程 课程名称数字电路题目四路彩灯显示电路系统 的设计 完成期限自2013年12月1日至2014年1月5日共5周 内容及任务一、项目的目的 (1)加深对电子线路的基本知识的了解和对电路的构建; (2)通过对问题的分析,选取相关的原件,系统设计,把实际问题转化为仿真电路问题; (3)提高团队的合作能力和动手能力; 二、项目任务的主要内容和要求 (1)设计四路彩灯显示电路,接通电源后,彩灯可以自动按预先设置闪烁; (2)设置的彩灯花型由三个节拍组成 第一节拍:从左向右逐次渐亮,灯亮时间1S共用4S. 第二节拍:四路彩灯从右向左逐次渐灭,也需4S。 第三节拍:四路彩灯同时亮0.5秒,然后同时变暗,进行四次,所需时间也是四秒。 (3)三个节拍完成一个循环,一共需要12S。一次循环之后重复进行闪烁。 三、项目设计(研究)思路 系统主要包括脉冲源、分频器、节拍控制器等,脉冲源采用秒脉冲发生器,用以提供频率为1Hz的时钟信号;分频器将1Hz的时钟信号四分频,用以产生0.25Hz(即4S)的时钟信号;节拍控制器产生三个节拍循环的控制信号;节拍程序执行器完成在每个节拍下的系统动作,即数据的左移、右移和送数功能,可以使用双向通用移位寄存器74LS194完成;显示电路完成系统循环演示的指示,可以用发光二极管模拟。 四、具体成果形式和要求 具体成果:四路彩灯显示电路系统和设计文档;

其要求:设计思路清晰,给出整体设计框图;设计个单元电路,给出具体设计思路、电路器件。 进度安排 起止日期工作内容 12.1-12.10 选题,组员分工,明确任务,查找相关资料。 12.11-12.20 绘制电路原理图。 12.21-12.30 运行、调试。 12.31-1.3 编写课程设计报告、答辩。 主要参考资料[1]康华光主编.电子技术基础(数字部分)第五版.高等教育出版社。 [2]康华光主编.电子技术基础(模拟部分)第五版.高等教育出版社。 [3]蔡惟铮《数字电子线路基础》[M]哈尔滨,哈尔滨工业大学出版社。 [4]吕思忠《数字电路实验与课程设计》哈尔滨工程大学出版社。 [5]谢自美《电子线路设计》华中理工大学出版社。 指导教师 意见 (签字):×年×月×日 系(教研室) 主任意见 (签字):×年×月×日

基于51单片机的简易逻辑分析仪设计

本科生毕业设计报告学院物理与电子工程学院专业电子信息工程 设计题目:基于51单片机的 简易逻辑分析仪设计 学生姓名 指导教师 (姓名及职称) 班级 学号 完成日期:年月

基于51单片机的简易逻辑分析仪设计 物理与电子工程学院电子信息工程 [摘要]本设计完成了一种能进行数字电路中多路数据测试的简易逻辑分析仪。它以51单片机控制核心,数模转换器为逻辑信号门限电平控制电路,用按键和 12864LCD作为人机交互界面,采用C51进行模块化编程,实现了四路信号的测试,具有成本低,使用方便等特点。 [关键词]数字电路单片机数模转换器逻辑分析仪 1 设计任务与要求 本设计的主要任务及参数指标是:数据位数4位,存储深度80字;数据速率最高1kHz;输入阻抗大于50kΩ;逻辑信号门限电平在1.0V~4.0V 范围内按8级任意设定。 2 设计方案 本系统采用51单片机为控制核心,系统由单片机系统、逻辑电平控制、按键、LCD显示、系统电源等模块构成。被测数据输入到逻辑电平控制模块,然后进行单片机进行测试,按键用于控制逻辑信号门限电平的大小,系统电源为各模块供电,各模块的供电电压为5V。

图1 系统框图 3 设计原理分析 3.1 单片机系统电路设计 图2 单片机系统电路 单片机系统为逻辑分析仪的核心,负责控制逻辑分析仪的逻辑电平、检测按键并驱动LCD 进行显示。单片机系统电路如图2所示,由晶体振荡器Y1、电容C3和C4构成振荡器电路,为单片机提供时钟信号。电容C1、电阻R2和R1、按键KEY1构成单片机复位电路,高电平复位,当按键KEY1按下的时间超过2个机器周期以上时,单片机就执行复位操作。EA 接高电平,单片机首先访问内部程序存储器。J1为1KΩ的排阻,作为P0口的外部上拉电阻。在硬件制作时为了方便单片机的测试和功能的扩展,把所有的I/O 口均通过排针引出。 EA/VP 31X119X218RESET 9 RD 17WR 16 INT012INT113T014T115P101P112P123P134P145P156P167P178P0039P0138P0237P0336P0435P0534P0633P0732P2021P2122P2223P2324P2425P2526P2627P27 28 PSEN 29 ALE/P 30TXD 11RXD 10U18051 P10 P11P12P13P14P15P16P17P00P01P02P03P04P05P06P07P20P21P22P23P24P25P26P27 123456789J1 1K +5 Y112M +5 RXD TXD RD WR T0T1INT0INT1C3 22p F C4 22p F R28.2K C110u F +5 12J6CON2 KEY1SW2 R1100 . .

简易逻辑分析仪报告

简易逻辑分析仪 摘要 本系统是由单片机作为主控制器、可编程器件作为辅助控制单元来实现数字信号产生、逻辑信号采集和示波器显示。 由单片机为核心的信号发生器,实现了大范围可控频率、预设码型的信号输出;数据采集模块的输入电路中的程控迟滞比较器,提高了输入信道的抗干扰能力。可编程器件高密度特点在本系统中的应用,大大减少了外围器件,增强了系统的可靠性。带有LCD显示模块为用户控制提供友好的人机界面,实现了设置掉电保护功能,并支持鼠标操作和图形打印。 关键词逻辑分析仪单片机可编程器件程控迟滞比较器一、方案论证及选择

方案一: 利用普通的74系列移位计数器构成数字信号发生器,纯单片机方式实现逻辑分析仪。 图 1-1 方案一结构框图 如图1-1所示,数字信号发生器部分,利用74系列的移位计数器的基本功能,通过拨码开关向置数端预置循环序列,通过TTL 驱动输出数字信号。逻辑分析仪部分的门限电压由电位器控制。这种方法单片机除了完成基本的数据分析外,还需要完成对逻辑数据的采集、存储、显示等大量控制工作。 方案二: 由单片机产生数字信号序列,由另外两片单片机构成逻辑分析仪。 射随器 门限 比较器 电位器 调压电路 单 片 机 Z Y X D/A D/A 预 置 拨码开关 序列 输出 数字信号发生器 简易逻辑分析 100Hz 时钟 键盘 级联74 移位计数器 数码管

图 1-2 方案二结构框图 如图1-2所示,相比方案一在信号产生上方案二采用了单片机方案,数码管显示循环序列码状态,本方案用软件可以实现不同频率、更加复杂数字信号的输出。在逻辑分析仪部分,部分的特点是双单片机结构,二者通过串口通信,下位机单片机3只负责显示,上位机单片机2通过D/A 输出程控的门限电平。本方案解决了显示与数据采集处理不能同时工作的矛盾, 方案三 利用FPGA/CPLD 的高速特点,实现系统并行工作,这是本方案相比于方案二的特色之一。用可编程器件可以高速完成单一功能模块。FPGA/CPLD 的使用弥补了单片机在高速采集和实时显示的弱点,使整个系统的处理能力远超过当前微控制器的水平,这使设计十分具有发挥的空间。而且通过合理地划分软硬件的工作量,将使软件控制和软件编写变得容易。 单 片 机 2 单 片 机 3 单 片 机 1 输出级TTL 驱动 射随器 D/A 门限 比较器 串口 通信 Z Y X D/A D/A 数码管 键盘 数字信号发生简易逻辑分析 键盘 数码管

数字逻辑电路1

桂林电子科技大学成教院试卷 数字逻辑电路(一) 一选择题 1.与晶体三极管相比,MOS管具有的特点是。 a.输入电阻高; b.受温度影响大; c.便于集成; d.电压控制元件; e.极间电容影响小 2.是组合逻辑电路的有;可组成时序逻辑电路的有。 a. 译码器电路; b. T触发器电路; c. 数据选择器; d. J,K触发器电路电路 3.可以用来代表A/D转换器分辨率的是。 a. 转换时间; b. 转换精度; c. 转换器的位数; d. 逐次逼近型还是双积分型转化器4.TTL集成电路采用的是控制,其功率损耗比较大;而MOS集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 5. 欲将二进制代码翻译成输出信号选用,欲将输入信号编成二进制代码选 用,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用,欲实现两个相同位二进制数和低位进位数的相加运算选用。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器;g. 计数器;h. 寄存器 6.根据最小项与最大项的性质,任意两个不同的最小项之积为,任意两个不同的最大项之和为。 a. 不确定; b. 0 ; c. 1 7.卡诺图上变量的取值顺序是采用的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 8.可以用来代表A/D转换器分辨率的是。 a. 转换时间; b. 转换精度; c. 转换器的位数; d. 逐次逼近型还是双积分型转化器9.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是,为时序逻辑电路的是。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 10.在进行多输出函数的化简时,对各个函数的卡诺图画圈时,应当尽可能地先画,这样可以使设计的电路最为简单。 a. 最大的圈; b. 公共的圈; c. 约束项; d. 简单函数的卡诺图。 二简答题 1.简述用TTL与非门、或非门、异或门实现反相器功能.多余输入端的连接方法(5)。2.举例说明什么叫竞争冒险-现象及产生竞争冒险的原因。(10) 3.下图(a)与(b)分别为二极管组成的门电路,(c)为输入端A,B,C的波形。(1)分析各电路的逻辑功能,写出其表达式。(2)画出F1和F2的波形。(10)

数字逻辑课程设计报告

数字逻辑课程设计报告

数字逻辑课程设计 多功能数字钟 班级: 学号: 课程设计人: 指导老师: 课题: 完成时间:

一、设计目的: 学会应用数字系统设计方法进行电路设计,熟练地运用汇编语言。 二、设计任务及要求: 1.记时、记分、记秒 2.校时、校分、秒清0 3.整点报时 4.时间正常显示 5.闹时功能 三、设计思路: 将整个闹钟分为以下几个模块,每个模块中都有详细的各部分的设计思路,源代码及仿真图像,生成的器件。 1.计时模块 计小时:24进制计数器 计分、计秒:60进制计数器 计时间过程: 计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号。 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位。 计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 二十四进制计数器代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt24 is port (clk:in std_logic; qh,ql:out std_logic_vector(3 downto 0)); end cnt24; architecture behave of cnt24 is signal q1,q0:std_logic_vector(3 downto 0); begin process(clk) begin if(clk'event and clk='1')then if(q1="0010" and q0="0011")then q1<="0000";q0<="0000"; elsif(q0="1001")then q0<="0000";q1<=q1+'1'; else q0<=q0+'1'; end if; end if; qh<=q1; ql<=q0;

基于单片机的简易逻辑分析仪毕业设计论文

基于单片机的简易逻辑分析仪 目录 第1节引言 (3) 1.1系统概述 (3) 1.1.1系统的特点 (4) 1.1.2系统的功能 (4) 第2节系统主要硬件电路设计 (5) 2.1 系统结构框图 (5) 2.2 主体控制模块 (5) 2.3 系统硬件的主体实现 (7) 2.3.1 数字信号发生器模块的电路设计与实现 (7) 2.3.2 主控系统模块的电路设计与实现 (8) 2.3.3 LED显示模块的电路设计与实现 (10) 2.3.4 硬件的抗干扰措施 (12) 第3节系统软件设计 (13) 3.1 系统软件流程 (13) 3.2 中断服务子程序 (15) 3.3 AT24C04程序设计 (15) 第4节结束语 (19) 参考文献 (20) 基于单片机的简易逻辑分析仪

第1节引言 信息时代是数字化的时代,数字技术的高速发展,出现了以高性能计算机为核心的数字通信、数字测量的数字系统。在研究这些数字系统产品的应用性能的同时也必须研究在设计、生产和维修他们的过程中,如何验证数字电路设计的合理性、如何协调硬件及其驱动应用软件的工作、如何测量其技术指标以及如何评价其性能。逻辑分析仪的出现,为解决这些问题提供了可能。 随着数字系统复杂程序的增加,尤其是微处理器的高速发展,用示波器测试己显得有些无能为力。1973年在美国应运而生的逻辑分析仪(Logic Analyzer),能满足数字域测试的各种要求。它属于总线分析仪一类的数据域测试仪器*主要用于查找总线(或多线)相关故障.同时对于数据有很强的选择能力和跟踪能力,因此,逻辑分析汉在数字系统的测试中获得了广泛的应用。 逻辑分析仪(Logic Analyzer)是以逻辑信号为分析对象的测量仪器。是一种数据域仪器,其作用相当于时域测量中的示波器。正如在模拟电路错误分析中需要示波器一样,在数字电路故障分析中也需要一种仪器,它适应了数字化技术的要求,是数字、逻辑电路、仪器、设备的设计、分析及故障诊断工作中不可按少的工具。在测试数字电路、研制和维修电子计算机、微处理器以及各种集成化数字仪表和装置中具有广泛的用途;还是数字系统设计、侦错、软件开发和仿真的必备仪器;作为硬件设计中必不可少的检测工具,还可将其引入实验教学中,建立直观感性的印象,提升学生的硬件设计能力,可以全面提高教学质量;随着科技的发展,LA在多通道、大存储量、高采样速率、多触发功能方面得到更快的发展,在航天、军事、通信等数字系统领域得到越来越广泛的应用。 我们从上面可以看出逻辑分析仪在各个领域的广泛应用。那么我们在学习、应用的同时设计并制作一个简易的逻辑分析仪就显的意义重大了,这样这个过程既可以让我们更加深入理解其原理,又可以提高动手设计并制作整个系统电路的能力,还可以将其作为简易仪器应用于以后的实验中。 1.1系统概述 因在本节中,我们将对简易逻辑分析仪的应用进行分析。给出它的特点,能实现的功能以及系统的简单操作 1.1.1 系统的特点 逻辑分析仪也称逻辑示波器,它是用来分析数字系统逻辑关系的一种仪器。逻辑分析仪的主要作用有二个:一是用于观察的形式显示出数字系统的运行情况,相当于扩展了人们的视野,起一个逻辑显示器的作用;二是对系统运行进行分析和故障诊断。

数字逻辑电路

一、填空题(每空2分,共20分) 1、门电路中衡量带负载能力的参数称为___ _____ 。 2、正逻辑的或非门电路等效于负逻辑的__ ___电路。 3、图示触发器的特征方程为___ _____ 。 4、n 位触发器构成的环形计数器,也是一个_____ 分频电路。 5、存在空翻现象的触发器是_ __触发器。 6、组成一个模为60的计数器,至少需要____ ___个触发器。 7、钟控触发器按逻辑功能可分为_ ____、 、 、 等四种。 二 、选择题(每题2分,共20分) 1、N 个变量的逻辑函数应该有最小项( ) A.2n 个 B.n 2 个 C.2n 个 D. (2n -1)个 2、下列关于异或运算的式子中,不正确的是( ) A.A ⊕A=0 B.A A ⊕=0 C.A ⊕0=A D.A ⊕1=A 3、下图所示逻辑图输出为“1”时,输入变量( ) ABCD 取值组合为 A .0000 B .0101 C .1110 D .1111 4、下列各门电路中,( )的输出端可直接相连,实现线与。 A.一般TTL 与非门 B.集电极开路TTL 与非门 C.一般CMOS 与非门 D.一般TTL 或非门 5、下列各触发器中,图( )触发器的输入、输出信号波形图如下图所示。

6、多谐振荡器有() A.两个稳定状态 B.一个稳定状态,一个暂稳态 C.两个暂稳态 D.记忆二进制数的功能 7、计算机内部使用的数字符号只有()个 A.1 B.2 C.3 D4 8、构成一个模6的同步计数器最少要()个触发器。 A.1 B.2 C.3 D4 9、如采用奇校验传送的数据部分为0111001,则所加校验位应为()。 A.1 B.0 C.不知道 D任意 10、n位触发器构成的环形计数器,也是一个()分频电路。 A.n B.n-1 C.n+1 Dn-2 三、(每题15分,共 60 分) 1、用卡诺图法化简函数F(A,B,C,D)=BC+D+D B C ( )(AD+B),求出最简“与或”表达式。 2、用卡诺图法化简函数F(A,B,C,D)=∑m(1,5,6,7,11,12,13,15),求出最简“与或”表达式。 3、分析下列逻辑电路,写出输出函数F的表达式。a,b,c有哪些取值组合使F为1。

数字逻辑课程设计-数字时钟

数字逻辑课程设计实验报告 题目数字钟 姓名桂大有 班级网络工程103班 学号109074360 指导教师陆勤 完成日期2012年5月21日

数字钟的设计 1.数字钟的功能描述 (1)计时和显示功能 采用24小时计时并以十进制数字显示时、分、秒(时从00-23,分、秒从00-59)。 (2)校对动能 当数字时钟走的有偏差时,应能够手动校时。 2.数字钟的设计思路 根据功能要求,整个数字时钟分为计时和校时两大部分。 计时部分秒计时电路接收1Hz时基信号,进行60进制计数,计满后秒值归0,并产生1/60Hz时钟信号;分钟计时电路接受1/60Hz时钟信号,进行60进制计数,计满后分钟值归0,并产生1/3600Hz时钟信号,小时计时电路接收1/3600Hz时钟信号,进行24小时计数,计满后小时、分、秒皆归0,如此循环往复。 校时部分,采用两个瞬态按键配合实现,1号键产生单脉冲,控制数字钟在计时/校时/校分/校秒四种状态间切换,2号键通过控制计数使能端让时/分/秒计数器发生状态翻转以达到指定的数值。 3.系统功能模块介绍 Ⅰ.模块一:数字钟总体原理电路。 其中包含:(1)分钟、秒计时电路(2)小时计时电路(3)计时/校时的切换

Ⅱ.采用原理图和HDL混合设计方式实现数字钟 ①分钟、秒计时电路 分钟、秒计时需要60进制计数,其电路图如下所示: 该电路图用两片74160采用同步连接构成60进制计数器,通过译码电路识别稳态“59”,输出低电平使计数器置数为0。整个技术循环为00—>01—>02—>…—>58—>59—>00—>…,共有60个稳定状态。计数值采用BCD码形式,Q7~Q4表示分钟或秒的十位,Q3~Q0表示分钟或秒的各位。EN输入端当正常计数状态时接收分钟计时电路的进位输出,,而在校时状态时接收校时脉冲用于控制小时值的翻转。计满进位输出端CO用于触发高一级计数器的技术动作。 ②小时计时电路(采用24时制,电路图如下所示)

数字逻辑课程设计报告

课程设计基本要求 1. 学生可以完成以下题目之一,经指导教师检查、验收、提交设计报告、评定成绩。 2. 学生也可以自拟题目进行设计,但需经指导教师审核同意。 3. 设计方法由学生根据自己情况决定,如采用原理图设计、HDL语言设计等。 4、设计报告应包括设计思路或过程、原理图或HDL文本、实验结果(可选)、设计讨论或心得体会。 一、简要说明 数字钟是由振荡器、分频器、计秒电路、计分电路、计时电路组成。计时有24h 和12h两种。当接通电源或数字钟走时出现误差,都需要对数字钟作手动时、分、秒时间校正。 二、任务和要求 显示时、分、秒的十进制数字显示,采用24小时制。 校时功能。 整点报时。 三、可选用的器件 EDA-V实验箱 // 数字逻辑课程设计实验报告 此设计主要以数字电路的基础理论为指导,采用中、小规模的集成器件CD4060、74LS161、74LS160、和LS248设计而成。该电路采用模块设计、分模块安装、调试等方法设计而成,所选用的器件主要是中小规模的集成芯片,本产品由于采用多片74LS系列的集成芯片组成,生产成本低等原因,使这个产品设计既容易实现,又不会浪费太多成本。 产品由石英晶体振荡器产生频率可以调节的时钟脉冲信号,经十五分频得到秒信号脉冲作为数字钟计数器的时钟信号,当到达整点前一秒时,电路通过一个蜂鸣器准时报时。这个电路还可以通过手动,即过拨动开关来选择是否进行时间较准。 总电路初步设计 1.1 设计内容以及要求 显示时、分、秒的十进制数字显示,采用24小时制。 校时功能。整点报时。 主要参考元器件: CD4060,74LS161,74LS248,74LS74,7400与非门

基于单片机的简易逻辑分析仪的毕业设计论文

基于单片机的简易逻辑分析仪毕业设计论文 目录 第1节引言 (3) 1.1系统概述 (3) 1.1.1系统的特点 (4) 1.1.2系统的功能 (4) 第2节系统主要硬件电路设计 (5) 2.1 系统结构框图 (5) 2.2 主体控制模块 (5) 2.3 系统硬件的主体实现 (7) 2.3.1 数字信号发生器模块的电路设计与实现 (7) 2.3.2 主控系统模块的电路设计与实现 (8) 2.3.3 LED显示模块的电路设计与实现 (10) 2.3.4 硬件的抗干扰措施 (12) 第3节系统软件设计 (13) 3.1 系统软件流程 (13) 3.2 中断服务子程序 (15) 3.3 AT24C04程序设计 (15) 第4节结束语 (19) 参考文献 (20)

基于单片机的简易逻辑分析仪 第1节引言 信息时代是数字化的时代,数字技术的高速发展,出现了以高性能计算机为核心的数字通信、数字测量的数字系统。在研究这些数字系统产品的应用性能的同时也必须研究在设计、生产和维修他们的过程中,如何验证数字电路设计的合理性、如何协调硬件及其驱动应用软件的工作、如何测量其技术指标以及如何评价其性能。逻辑分析仪的出现,为解决这些问题提供了可能。 随着数字系统复杂程序的增加,尤其是微处理器的高速发展,用示波器测试己显得有些无能为力。1973年在美国应运而生的逻辑分析仪(Logic Analyzer),能满足数字域测试的各种要求。它属于总线分析仪一类的数据域测试仪器*主要用于查找总线(或多线)相关故障.同时对于数据有很强的选择能力和跟踪能力,因此,逻辑分析汉在数字系统的测试中获得了广泛的应用。 逻辑分析仪(Logic Analyzer)是以逻辑信号为分析对象的测量仪器。是一种数据域仪器,其作用相当于时域测量中的示波器。正如在模拟电路错误分析中需要示波器一样,在数字电路故障分析中也需要一种仪器,它适应了数字化技术的要求,是数字、逻辑电路、仪器、设备的设计、分析及故障诊断工作中不可按少的工具。在测试数字电路、研制和维修电子计算机、微处理器以及各种集成化数字仪表和装置中具有广泛的用途;还是数字系统设计、侦错、软件开发和仿真的必备仪器;作为硬件设计中必不可少的检测工具,还可将其引入实验教学中,建立直观感性的印象,提升学生的硬件设计能力,可以全面提高教学质量;随着科技的发展,LA在多通道、大存储量、高采样速率、多触发功能方面得到更快的发展,在航天、军事、通信等数字系统领域得到越来越广泛的应用。 我们从上面可以看出逻辑分析仪在各个领域的广泛应用。那么我们在学习、应用的同时设计并制作一个简易的逻辑分析仪就显的意义重大了,这样这个过程既可以让我们更加深入理解其原理,又可以提高动手设计并制作整个系统电路的能力,还可以将其作为简易仪器应用于以后的实验中。 1.1系统概述 因在本节中,我们将对简易逻辑分析仪的应用进行分析。给出它的特点,能实现的功能以及系统的简单操作 1.1.1 系统的特点 逻辑分析仪也称逻辑示波器,它是用来分析数字系统逻辑关系的一种仪器。逻辑

简易逻辑分析仪

安阳工学院电子信息与电气工程学院 《EDA技术》课程大作业 题目:简易逻辑分析仪 班级: 2011级电子信息工程一班 评分标准: 1、题目难易度。 10% 2、设计和结论正确,分析清晰合理。 40% 3、大作业报告阐述清晰,格式规范。 20% 4、陈述清晰,回答问题正确。 30% 大作业成绩 总成绩=T+J*40%+(J+J*(X-1/N))*30% T为回答问题成绩,J为教师成绩,X为学生自评分值,N为小组人数

简易逻辑分析仪 1. 设计任务 逻辑分析仪可以将数字系统中的脉冲信号、逻辑控制信号、总线数据甚至毛刺脉冲都能同步高速的采集进该仪中的高速RAM中暂存,以备显示和分析。我们所设计的简易逻辑分析仪是一个8通道的逻辑数据采集电路,它可以将输入到RAM中的计数结果通过输出线完整地按地址输出出来,其中CLK(时钟信号),CLK_EN(时钟使能信号),CLR(清零端),WREN(写入允许控制)和DIN(7..0)(写入允许控制),OUTPUT(八位数据输出)。预期可以将输入到简易逻辑分析仪中的数据可以完整的读取出来。 2.设计方案 我们所设计的简易逻辑分析仪主要有三个功能模块构成:一个8位LMP_RAM0,存储1024个字节,有十根地址线;一个十位计数器LMP_COUNTER和一个锁存器74244。设计思路框图如下图一: 图一设计思路框图 3. 方案实施 3.1、LPM计数器模块的设计 首先打开一个原理图编辑窗,存盘取名为 eda1,然后建成工程,在进入本工程的原理图,单击Mega Wizard Plug-In Manger 管理器按钮,然后进入如图二所示的窗口,选择LPM-COUNTER模块,再选择CycloneⅢ和VHDL;文件名为CONT10B。

数字逻辑课程小论文

数字设计课程小论文 课题名称:数字设计的发展历程及展望 姓名: 学号: 学院: 指导老师: 2014.5.20

目录 一、电子技术发展概述 (1) 二、数字技术的典型应用 (2) 三、数字技术的展望 (4) 四、总述 (4) 五、参考文献 (5)

一、电子技术的概述 电子技术是20世纪发展最迅速,应用最广泛的技术,已经使得工业,农业,科研,教育,医疗,文化娱乐以及人们的日常生活发生了根本的变革。特别是数字电子技术,在近四十多年来,取得了令人瞩目的进步。 电子技术的发展历程是以电子器件的发展为基础的。20世纪初直至中叶,主要使用的电子器件是真空管,也称电子管。随着固体微电子学的进步,第一支晶体三极管于1947年问世,开创了电子技术的新领域。随后60年代初,模拟和数字集成电路相继问世。到70年代末微处理器的问世,电子器件及应用出现了崭新的局面。1988年,集成工艺可在一平方厘米的硅片上集成3500万个元件,说明集成电路进入甚大规模阶段。 当前的制造技术已经使得集成电路芯片内部的布线细微到亚微米和深亚微米()量级。随着芯片上元件和布线的缩小,芯片的功耗降低而速度大为提高。最新生产的微处理器的时钟频率高达3GHz。 数字技术的发展历程与模拟电路一样,经历了由电子管,半导体分立器件到集成电路的过程。由于集成电路的发展非常迅速,很快占有主导地位,因此,数字电路的主流形式是数字集成电路。从20世纪60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件,随后发展到中规模;70年代末,微处理器的出现,使数字集成电路的性能发生了质的飞跃;从80年代中期开始,专用集成电路(ASIC)制作技术已趋向成熟,标志着数字集成电路发展到了新的阶段。 具体发展过程如下: 1)19世纪(早期发展)----半导体 1800 年,意大利物理学家伏特发明了世界上第一个伏特电池。半导 体 1833 年,英国物理学家法拉第发现半导体材料随温度升高电阻值下 降。负电阻温度系数 1873 年,英国史密斯发现辐射可以引起被照射半导体材料电导率改 变。光电导效应 1874 年,德国物理学家布劳恩观察某些硫化物的电导与所加电场的 方向有关。整流效应 1876 年,英国物理学家亚当斯发现晶体硒和金属接触在光照射下产 生了电动势。光生伏特效应 1879 年,美国物理学家霍尔在研究通有电流的导体在磁场中受力, 发现在垂直于磁场和电流的方向上产生了电动势。霍尔效应 半导体理论模型逐步建立,半导体材料提纯和掺杂技术不断发展。 电报、电话和无线电等的发明使得电子业诞生。 2)20世纪(中期阶段)----往集成电路发展 1947 Bell 实验室肖克莱等人发明了晶体管,微电子技术发展中的第一个里程 碑。 1950 结型晶体管诞生;R Ohl 和肖特莱发明了离子注入工艺。 1951 场效应晶体管发明。 1956 C S Fuller 发明了扩散工艺。 1958 Fairchild 公司Robert Noyce 与TI 公司Jack Kilby 分别发明了集成电路, 开创了世界微电子学的历史。 1960 H H Loor 和E Castellani 发明了光刻工艺。 1962 美国RCA 公司研制出MOS 场效应管。

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

简易逻辑分析仪

简易逻辑分析仪 摘要 本系统基于逻辑分析仪原理,以AT89C系列单片机为核心,设计制作完成了简易逻辑分析仪。本系统主要由数字信号发生器模块、采集存储和示波器显示模块、人机交互模块三部分组成。基于题目要求,本系统对触发方式、信号采集存储、示波器显示波形和时间标志线、友好的人机界面等功能进行了重点设计。经测试,各项指标均满足基本部分和发挥部分的要求,并且有些指标超出题目要求。 关键字:逻辑分析仪;单片机;液晶 Abstract: Keywords: Signal Oscilloscope;MCU;LCM 1、 总体方案设计 1. 方案比较和选择 方案一:纯FPGA/CPLD(可带IP核)或FPGA/CPLD与单片机结合方式。即由FPGA/CPLD产生数字序列信号,判断单、三级触发信号,设定门限电压,采集、存储、显示被测信号;由IP核实现人机交互及信号测量分析等功能;或由单片机完成IP核实现的功能。此方案优点是速度快、精度高。缺点是软硬件复杂、调试困难、程序不易控制、性价比极低;而且体现不出本方案的优势。 方案二:纯单片机方式。即由多片单片机分别完成数字序列发生器,判断触发信号、数据采集、存储、显示,人机交互、门限电压设定

等功能。优点是操作方便、软件结构清晰、控制灵活、调试容易、性价比较高。本系统对速度的要求不是很高,所以单片机完全能够胜任。 2. 系统设计方案 本系统以三片单片机AT89C51为核心,将设计任务分解为数字信号发生器模块、采集存储和示波器显示模块、人机交互模块三部分。其中核心和关键部分是采集存储和示波器显示模块,另外两个模块起辅助作用。总体系统框图如图1所示。 图1 2、 电路设计与分析 1.可预置的8路数字信号发生器 本模块用于产生8路可预置的重复循环移位逻辑信号序列,输出信号为TTL电平,序列时钟频率为100Hz。输出数字信号如图2示例所示。

简易逻辑分析仪

简易逻辑分析仪 一、方案论证及选择 1、系统总体框图如下: 整个系统由信号发生器部分、信号调理部分、ARM软件控制部分以及输出显示部分组成。 2、数字信号发生器模块 方案一:采用555定时器和可预置移位寄存器。用两片74LS194A接成8位可预置循环移位寄存器,方波发生器提供一时钟信号给移位寄存器,预置数用8个按键接入(即循环序列),此方案简单可靠。 方案二:用PC 通过软件编程可以从并行口输出信号波形,不需要硬件电路,且设计灵活,但是不适合电子设计竞赛,并且PC体积大,携带不方便。 方案三:采用中规模FPGA,使用VHDL语言设计移位寄存器。此方案可以实现精确定时产生信号,且信号频率可调,体积小, 但其显示电路占用资源多,这样设计出来的电路系统将大且复杂。 方案四:采用一片AT89C2051单片产生波形序列。用单片机产生数字信号,设计简单,设置灵活,频率调节方便。 综合分析上述各方案,比较其优缺点,本系统有其固定的频率要求,故选用最简单的方案一。 3、门限电压分级部分 方案一、采用单片机软件控制分级输出不同的电压值,给到比较器的反相端。该方案简单、且输出电压精确。 方案二、用单片机产生一路PWM波,再经过两级RC低通滤波可得到直流电压,通过控制PWM波的占空比来改变电压值,达到分级效果。该方案RC滤波得到的电压不稳定,且有纹波。

方案三、直流电源供5V电压,采用电阻、电位器进行一级一级的分压,以实现分级效果。该方案电路复杂,且电位器调节比较难。 方案四、采用数字式电位器,由单片机结合相应的外围电路进行控制,以实现分压。采用程控方式,得到的电压精确且稳定。 鉴于本系统软件程序较多,ARM内部仅两个DA,故选择方案四以避免使用单片机内部DA。 二、硬件部分单元电路 1、数字信号发生器电路 该部分采用了555定时器产生一定频率的时钟信号,通过改变滑动变阻器阻值可实现频率在一定范围内可变,定时器后接一个非门以增强后级驱动能力。定时器产生的方波信号作为双向移位寄存器74LS194的输入时钟,利用74LS194的两个控制端(S0,S1)来产生八路可预置的循环移位逻辑信号序列。当按键SW2按下时,74LS194将按键的逻辑状态输入移位寄存器,送入移位寄存器的这组数值便在时钟的控制下循环移位。 2、信号调理部分 由移位寄存器产生的逻辑信号经过电压比较器LM339,与一可调门限电压进行比较,并输出TTL逻辑电平。这些电平信号输入到单片机与用户自己设置的触发状态字进行比较进而输出题目要求采集的信号。由于LM339输出的信号电平为5V,而单片机可承受的电压最大为3.3V,故需在LM339后进行光耦隔离以防止外部设备给过大的电流给单片机,同时也达到降压的效果。

数字逻辑电路课程设计数字钟

数字逻辑课程设计 数字钟 姓名: 学号: 班级:物联网工程131班 学院:计算机学院 2015年10月10日

一、任务与要求 设计任务:设计一个具有整点报时功能的数字钟 要求: 1、显示时、分、秒的十进制数字显示,采用24小时制。 2、校时功能。 3、整点报时。 功能: 1、计时功能: 要求准确计时,以数字形式显示时、分、秒的时间。小时的计时要求为“12翻1”。 2、校时功能: 当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种。“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。 3、整点报时: 每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。 二、设计方案 电路组成框图: 主体电路 扩 展 电 路时显示器 时译码器 时计数器 分显示器 分译码器 分计数器 校时电路 秒显示器 秒译码器 秒计数器 定时控制 仿电台报时 报整点时数

数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时和报时。利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。秒、分、时之间采用同步级联的方式。开关S1和S2分别是控制分和时的校时。报时功能在此简化为小灯的闪烁,分别在59分51秒、53秒、55秒、57秒及59秒时闪烁,持续的时间为1秒。 三、设计和实现过程 1.各元件功能 74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。 74LS00:二输入端四与非门 74LS04:六反相器 74LS08:二输入端四与门 74LS20:四输入端双与非门 2.各部分电路的设计过程 (1)时分秒计数器的设计 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP和ENT引脚是由秒钟显示电路的进位信号控制的。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。可选两片74LS160设计较为简单。 时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。可选两片74LS160设计。

相关文档