文档库 最新最全的文档下载
当前位置:文档库 › 新编数字逻辑与数字电路实验修改稿三

新编数字逻辑与数字电路实验修改稿三

新编数字逻辑与数字电路实验修改稿三
新编数字逻辑与数字电路实验修改稿三

****

实验指导书

广东商学院教务处制

目录

绪论

实验一TTL门电路逻辑功能测试

实验二TTL门电路的逻辑功能变换

实验三组合逻辑电路实验一

实验四组合逻辑电路实验二

实验五加法器

实验六集成触发器及其应用

实验七计数器

实验八移位寄存器和锁存器

实验九脉冲信号产生电路

实验十综合实验

附录一

附录二

绪论

实验是对学生进行专业技能训练,提高学生的工程实践能力的一个重要的教学环节。《数字电子技术基础》课程是一门实践性、工程性非常强的技术基础课,必须十分重视加强实验教学。通过数字电子技术实验课使学生进一步巩固理论知识,培养基本操作技能、创新能力,全面提高学生的动手能力及综合应用电子技术的能力。

在实验课程的进行中,教师应注重对学生的工程技术能力的培养,如:1、使用常见电子测量仪器仪表的技能;2、熟悉常用元件的性能并正确选用的能力;3、实施实验并观察分析电路现象的能力;4、应用电子测量技术的能力;5、调试实验或排查简单故障的能力等;6、焊接组装实验电路的能力,并注重全面素质的创新精神的培养。

一、数字电子技术实验课程的基本要求

1、能够正确熟练使用信号发生器、毫伏表、示波器等常用电子测量仪器。

2、熟悉电子技术中常用元器件的性能和使用方法。

3、能够根据实验需要,正确选择电路元器件,正确连接实验线路,观察实验现象,调试实验电路,排除简单电路故障。

4、了解误差理论,学会正确处理数据,绘制实验曲线,分析实验结果,撰写实验报告。

5、认真研究实验现象,积极思考和讨论实验问题,培养创新精神;同时要有严肃的科学态度、团结协作的团队精神和爱护实验设备的良好习惯。注意实验操作规范,安全用电。

二、课程的进行方式

要求应用数字电子技术基础理论知识,熟练掌握实验方法,提高学生实验设计能力以及实际调试电路能力。在教学方法上,采用课前预习、设计、调试、总结和考核等环节。

1、课前预习

实验前要认真预习实验所涉及的有关知识,明确实验的目的和要求,了解实验原理、仪器仪表设备的使用方法和注意事项,提出完整的设计方案及可实验的电路,确保实验中主要精力集中于电路的验证、分析与研究上。

2、课程进行

(1)学生设计数字电子技术基础实验内容分为两类:基础测试型和综合设计型。基础测试型实验训练学生的调试能力和分析能力,综合设计型实验培养学生运用数字电路理论设计电路的能力。

(2)检查仪器仪表检查本次实验所需的仪器仪表及部件是否齐全,仪表的类型和量限是否合适,仪表指针的起始位置是否正确,指针摆动是否灵活等。同时记录仪器仪表的型号、规格及标号,以便在分析实验结果时,对数据的准确性和可靠性有所依据。

(3)连接线路实验前,仪器仪表的摆放和布局要合理,操作要安全。断电状态时进行连线,应按电路顺序相连。连线要可靠,线路要清楚有序,各分支、节点易辨别(可以利用导线的色彩特征等)。

(4)检查线路线路接好后,同学之间应先互查线路是否正确。除了检查电路的连接是否正确外,还要检查滑线变阻器的动触点位置是否合适,调压器手柄指针位置是否接近零位,仪表量限和极性是否符合要求。对初次实验或较复杂的实验线路,须经老师核查线路后才可通电。

(5)接通电源通电前,首先通知全组成员做好准备,以免发生人身事故或设备损毁,有异常现象时,应及时断电。

(6)读取和审查数据数据经审查合格后,要先切断电源,再拆除线路,整理仪器仪表设备,清理导线。经老师允许后,方可离开实验室。

三、实验报告的撰写

实验结束后,必须认真及时地撰写实验报告。实验报告是实验结果的总结和反映。一个实验的价值,很大程度上取决于实验报告质量的高低。

1、撰写实验报告的要求

(1)事实求实的科学态度实验数据与实验结果是对电路进行分析研究的依据。因此,实验取得的资料,

如数据、图形等应真实地反映到实验报告中去,不允许更改、抄袭,或主观臆断。若因操作错误使数据违背规律,应当重做实验,重新取得数据。

(2)符合要求的具体内容实验报告应以实验目的和实验要求为中心内容。

(3)不断积累、深入探索的钻研精神实验过程是培养实验技能,提高动手能力,增加实践经验的过程。学生应善于总结实验中的经验与不足,将其整理记录在实验报告中,对后面做好实验提供帮助。

(4)实验报告形式规范实验报告应作到:文字流畅,语言准确,书写清楚、整齐,数据完整,图表规范,分析合理,结论有据。

2、实验报告的主要内容

(1)实验名称包括实验日期,实验者班级、姓名及学号,实验组别,同组人姓名。

(2)实验目的实验目的是实验的宗旨,要有明确的目的,才能做好实验。在本栏中,学生应简明地概述本实验通过何种方法,训练哪些技能,达到怎样的要求等。

(3)实验仪器与设备列出完成实验所需的仪器和设备。

(4)实验电路画出实验电路图与测试电路图,标明元器件和参量以及仪器仪表的名称等。

(5)实验记录应记录实验过程中的数据、图形及绘制的曲线、图表。

(6)实验结论和心得总结实验结果并将发现的问题及学习心得记录下来。

四、实验室的安全操作规则

在实验中,为了防止仪表仪器等设备的损坏,保证人身安全,实验者必须严格遵守如下安全操作规则:1、熟悉实验室的直流与交流电源,了解其电压、电流额定值和控制方式,区分直流电源的正负极和交流电源的相线与中性线。

2、知道仪器仪表的规格、型号和使用方法,特别要主要额定值和量限。

3、通电前,应通知全组人员有准备后再接通电源。

4、实验中不得用手触摸线路中带电的裸露导体。改、拆接线路时,应断开电源,电容应用导线短接放电(安全电压36V以下,安全电流100mA以下)。

5、发现异常现象,如仪表指针猛打,有焦臭、冒烟、闪弧、有人触电等,应立即切断电源,报告指导老师,查找原因,排除故障。

6、实验要规范有序,不要忙乱,应按操作步骤实施实验。不要乱动与本次实验无关的仪器设备。实验完毕后,应将仪器设备恢复到常位,并切断电源。

五、仪器简介

1. 示波器

本书中所有实验都采用DOS-622B 型双踪示波器,为简明起见,现着重指出以下几点:

(1) 示波器面板上下列几个控制开关(或旋钮)的位置固定不变:

(a) X 方式开关(HOR DISPLAY):选“A 方式”。

(b) 触发方式开关(SWEEP MODE):通常可先置于“AUTO”位置。

(c) 触发极性开关(SLOPE):选“+”。

(d) 藕合方式开关(COUPLING):选“AC”。

(e) 触发源选择开关(SOURCE):通常选为“内触发”(INT)。

(2) 示波器通常使用的显示方式(VERT MODE)有3种:作单踪显示时,有“通道1”(CH1)和“通道2”(CH2)显示方式;作双踪显示时,通常采用“交替”(ALT)显示方式。例如,当信号从“CH1X”端输入时,属于单踪显示,显示方式(VERT MODE)应选“CH1”,内触发开关(INT TRIG)置于“CH1(X-Y)”。

(3) 打开示波器的电源开关,寻找扫描线的光迹线。

“CH1X”和“CH2Y”信号输入端旁边的“AC-GND-DC”开关选“GND”。在开机半分钟后,如仍找不到“水平线”,可调节亮度旋钮,并适当调节垂直(↓,↑)和水平( ←,→)移位旋钮(POSITION),将“水平线”移至荧光屏的中心位置。然后调节“辉度”(INTEN)、“聚焦”(FOCUS)和“亮度”(ILLUM)等旋钮,使“水平线”最清晰。

(4) 用“校准信号”(VP-P)检查示波器。

示波器上该端供给频率为1kHz、电压为0.5V 的方波。

将示波器校准信号输出端通过专用电缆线与“CH1X”(或“CH2Y”)输入插口接通(用红色夹子连校准信号输出端),“CH1X”(或“CH2Y”)旁边的“AC-GND-DC”开关选“AC”或“DC”,调节示波器扫描开关“A TIME/DIV”,选1ms 位置,Y 轴幅度开关“VOLTS/DIV”指在0.5V 位置,则在荧光屏上可显示出数个周期的方波。

2. 函数信号发生器

(1) 模拟实验使用EM1635 型函数信号发生器,可输出正弦波、方波、三角波3 种波形,由“波形选择”开

关控制,频率调节范围为0.004Hz~4MHz,且具有内扫频功能。

(2) 输出信号频率可以通过“频率”分挡开关和“频率调节”旋钮进行调节,并由“4 位数码显示屏”显示出频率值。

(3) 输出信号电压幅度可由“输出幅度”调节旋钮进行连续调节,从“LO”输出信号电压的范围为10~00mV,从“Hi”输出信号电压的范围为100mV~7V。

注意:函数信号发生器作为信号源时,它的输出端不允许短路。

3. 交流毫伏表

交流毫伏表在其工作频率范围内(20Hz~1MHz),用来测量正弦交流电压的有效值(0.1mV~300V,共11 个挡级)。

注意,为了防止交流毫伏表过载而损坏,测量前一般先将量程开关置于量程较大位置处(如100V),然后在测量中逐挡减小量程;读完数据后,再把量程开关拨回量程较大位置处(如100V),然后断开连线。

4. 直流稳压电源

YJ82/2 型直流稳压电源由两路直流电源组成,每路输出电压为0~30V,且连续可调。其工作方式如下:

(1) 两路电压源单独使用,同时输出两路电压。

(2) 两路电压源串联使用,两路输出电压相加。

(3) 两路电压源并联使用,两路输出电流相加。

注意,红端是输出电压的正端,黑端是输出电压的负端。

5.万用电表

可以根据需要测量直流电压、交流电压、直流电流、交流电流及电阻值。

实验一:TTL门电路逻辑功能测试

一、实验目的

1、熟悉各种基本门电路的逻辑符号和逻辑功能。

2、掌握集成门电路器件的使用及逻辑测试方法。

二、实验设备及用具

1、数字逻辑实验箱一台

2、双踪示波器一台

3、脉冲发生器一台

4、万用表一只

5、74LS00、74LS20、74LS54、74LS86各一片、连接导线若干

三、实验的基本原理

74LS00为四2输入与非门,即在一块集成电路芯片内含有4个互相独立的与非门,每个与非门有2个输入端。

74LS10和74LS20也是常见的与非门芯片,它们分别为三3输入与非门和二4输入与非门。

74LS54是2-3-3-2输入与或非门,它有十个输入端,一个输出端,还有

两个无连接端(标注为NC),它们之间的逻辑关系和逻辑表达式为

IJ

FGH

CDE

AB

Y+

+

+

=。如右图所示:

74LS86为四2输入异或门,即在一块集成电路芯片内含有4个互相独

立的异或门,每个异或门有2个输入端。

所有这些芯片的内部结构和管脚图都可以在本书后面的附录里查到。

集成逻辑门电路是最简单和最基本的数字集成元件。任何复杂的组合电路和时序电路都可用逻辑门通过适当的组合连接而成。基本逻辑运算有与、或、非运算,相应的基本逻辑门有与、或、非门。目前已有门类齐全的集成门电路,如与非门、或非门、与或非门、异或门等。虽然大、中规模集成电路相继问世,但组成某一个系统时,仍少不了各种门电路。TTL集成电路由于工作速度快、输出幅度较大、种类多、不易损坏等特点而使用较广。

我们实验用到的74系列的芯片的封装和排列形式都是塑封双列直插(在实际中也有表面贴装的),每个芯片都有一个或多个定位标志,用来指示一号管脚的位置,可以从以下几个方面来定位一号管脚:最常见和最明显的是芯片的一侧有一个半月形缺口,方法是将芯片上印着的型号数字等对着自己,则弧形凹口下方左起为该集成电路的一号管脚;其次,很多芯片在一号管脚位置会有一个凹或凸的定位小圆,它的下方就是一号管脚;此外,如果没有其他标志的时候也可以直接从芯片上面的文字来定位,正对文字的时候,左下方是一号管脚。从一号管脚逆时针顺序数过来,依次是2、3、4…号管脚。

每个芯片要正常工作,必须要有电源提供给它,所以每个芯片都有一个正电源端和一个接地端,通常它们都是呈对角分布,也就是说,对于14个管脚的芯片,7号是地(GND),14号是正电源端(Vcc),对于16

个管脚的芯片,8号是地(GND),16号是正电源端(Vcc),依此类推。但需要说明的是,有些芯片是例外,例如我们以后实验要用到的芯片74LS76、74LS90等就不是这种排列,所以,在使用芯片之前,要先查看它的管脚图。

我们的实验箱配有活动的IC插座,我们使用芯片的时候,先把插座旁边的拉手拉起,把芯片放入插座,再把拉手压下,芯片就固定好了。为方便接线,插座旁边有相应的插孔用于接线的,每个插孔都编号了号对于IC插座的相应管脚。在使用中,只要把有缺口的一方朝上,就可以对应起来了。但是要注意的是,为了方便使用不同的芯片,实验箱的IC插座除了有20脚的,还有24和40脚的。也就是说,芯片的管脚数量和IC插座的管脚数量通常并不一样,这时就要有相应的调整。

74LS54引脚图

例如,一个14脚的74LS00插入到如图的 20脚的IC 插座中,这时的IC 插座的每一列10个管脚只用到了7个,这样,左边从1到7插孔对应74LS00的1到7号管脚,而IC 插座下方两边3个管脚都没有用到,所以左边8、9、10的插孔没有用,右边的11、12、13插孔也没有用,右边的14插孔对应的是IC 的8号管脚,相应的15、16、17、18、19、20插孔对应的IC 管脚是9、10、11、12、13、14。其他情况按照同样的原理类推。

四、实验内容及步骤要求

1、测试与非门的各种逻辑功能 (1)测试74LS00的参数: ①输出高电平电压V OH ; ②输出低电平电压V OL ;

右图为原理图,1、2、3管脚构成一个与非门,当1、2输入端接高电位时,输出为低电位,记录电压表的值,得到V OL ;而当1、2输入端接低电位时,输出为高电位,记录电压表的值,得到V OH ;

2、测试并记录74LS00门电路的电压传输特性; 电压传输特性也就是输入电压与输出电压之间的关系,在坐标纸上,以X 轴表示输入电压,以Y 轴表示输出电压,通过输入连续变化的输入电压,同时记录相应的输出电压,可以得到电压传输特性曲线。 右图为原理图,将一个与非门的输入端接到示波器的X 轴输入端,即用作示波器的触发信号,注意将示波器的触发源做相应的设置,同时,还接到信号发生器以提供输入信号,设置为锯齿波(三角波),频率为500HZ ,幅值为10V 。

将输出接到示波器的Y 轴,这样可以在示波器上观测到相应的波形,为使系统工作稳定,同时给输出接上一个

单位为k Ω的负载电阻,为了记录数据,考虑到示波器测量电压不够直观还可以再接一个电压表。

在输入电压从0逐渐升到5V 的过程中,取10到20个点,记录每次的输入电压和输出电压,并标注在下图上,注意多选这曲线的变化部分。

3、测试其它TTL 门电路的各种逻辑功能 分别将各个芯片按一下步骤进行试验:

V O V I O

①接上电源和地线;

②按照所给出的电路结构,将其中一个门的输入连上输入开关,输出连到LED;

③调整输入开关的状态,记录相对应的输出LED的状态,记录到下面的表中:

④根据芯片的功能,对照输出状态的理论计算值和实际测量值,验证它们是否一致?

1、整理测试所得数据,总结TTL基本逻辑门的逻辑功能。

2、进行各实验结果与理论值的比较分析。

3、对实验故障分析及写出实验结论。

六、注意事项

1、进行实验前应认真进行实验预习。

2、在关闭实验仪电源情况下搭建电路;需要修改线路或检查线路时,一定要先断开电源。

3、检查电路后,再开通实验仪的电源。

4、实验过程注意记录实验故障和排除故障所采取的措施。

5、实验完毕,先关闭实验仪的电源,再拆线。

七、思考题

1、如果一个与非门的输入端接入了连续脉冲,那么

(1)其余的输入端是什么逻辑状态时,允许脉冲通过?脉冲通过时,输出波形与输入波形有何区别?

(2)其余的输入端是什么逻辑状态时,不允许脉冲通过?在这种状态下输出端又是什么状态?

实验二:TTL 门电路的逻辑功能变换

二、 实验目的

1、熟悉各种基本门电路的逻辑符号和逻辑功能。

2、熟悉在摩根定理进行逻辑转换时的应用。

3、掌握集成门电路器件的使用及逻辑测试方法。

二、实验设备及用具

1、数字逻辑实验箱 一台

2、双踪示波器 一台

3、脉冲发生器 一台

4、万用表 一只

5、74LS00、74LS20、74LS54各一片、连接导线若干

三、实验的基本原理

74LS00为四2输入与非门,即在一块集成电路芯片内含有4个互相独立的与非门,每个与非门有2个输入端。

74LS10和74LS20也是常见的与非门芯片,它们分别为三3输入与非门和二4输入与非门。

74LS54是2-3-3-2输入与或非门,其逻辑表达式为

Y =。如右图所示:

所有这些芯片的内部结构和管脚图都可以在本书后面的附录里查到。 摩根定理:B A B A ?=+ B A B A +=?

必须指出的是,摩根定理在简化逻辑函数或进行逻辑变换时,是一个十分有用的定理。应用摩根定理可以实现只用与非门或只用或非门就能完成与、或、非、异或等逻辑运算。

由于在实际工作中大量使用与非门,因此对于一个表达式,应用摩根定理,用两次求反的方法,就能较方便地实现两级与非门电路。例如,用与非门去实现F=AB+CD 的逻辑图,CD AB CD AB F ?=+=,可根据此表达式就很容易画出用与非门表示的逻辑图。如图2-1。

四、实验内容及步骤

1、验证门电路空余端的等效逻辑状态。

对于TTL 没有使用的输入端有接高电位、正电源、低电位、接地、与其他输入端连接和空余不接等多种处理方法,对于..TTL ...集成电路,输入端空余不接的时候其等效逻辑状态相当于高电平............................。. (1)检查四输入双与非门74LS20逻辑功能是否正常。并实现C B A ??=Q 逻辑表达式的功能。

因为74LS20的每个逻辑门有四个输入端,而逻辑表达式只需要A 、B 、C 三个输入变量,所以,剩了一个输入端没有使用,根据上述原则,可以空余不接。

①根据图2-2画出的逻辑接线图连接电路。 ②检查电路后,开通实验仪的电源。

③按表1-1所列接三个输入变量,分别测试的相应的输出电平。 ④将输入端D 的处理和输出结果一并记入表2-1中。 ⑤测试完毕,关闭实验仪的电源。

A B C D

F

图2-1

74LS54引脚图

图2-2

表2-

(2)为进一步验证多余输入端的不同处理方法的不同效果,下面做动态实验。当四输入端与非门A 输入端输入连续脉冲,

其余三个输入端B 同时接高电平(输出Q1)、悬空(输出为Q2)、接地(输出为Q3)等三种不同情况时,用双踪示波器分别观察、测出Q1、 Q2、 Q3的波形。

2、测试与或非门逻辑的功能 ①根据图2-3画出的逻辑接

线图连接电路。

②检查电路后,开通实验仪、

脉冲发生器、双踪

示波器的电源。

③调节脉冲发生器使输出5KHz 的脉冲信号,并接入电路A 端。

④示波器的两个信号输入端的CH1的信号端接入电路A 端观察输入信号,CH2的信号端接入电路Q 端观察输出波形,接地端接到实验箱的接地端。

⑤将电路B 端依次接入高电平“1”、悬空、低电平“0”,同时分别观察和记录输入波形和输出波形Q1、Q2、Q3记录于图2-4。

⑥测试完毕,关闭实验仪、脉冲发生器和双踪示波器的电源。 3、对于与非门的多余输入端可以采用直接悬空不接的办法处理,但不同的逻辑门的多余输入端应采取的不同处理方法。

①根据表2-2的要求化简与或非门74LS54的逻辑表达式成为FG AB Q +=,并自己设计画出逻辑接线图连接电路(其74LS54原逻辑表达式为IJ FGH CDE AB Y +++=)。

②检查电路后,开通实验仪的电源。

③根据化简结果设置和表2-2所列的输入变量,分别测试的相应的输出电平。 ④将多余的输入端C 、D 、H 、I 、J 的处理状态和输出结果一并记入表2-2中。 ⑤测试完毕,关闭实验仪的电源。

4、测试逻辑电路的逻辑关系 ①用74LS00连接图2-5电路。

1A 1B 1C 1D CH2

连续脉冲

Q1Q2Q3 图 1-3 图 1-4图2-3 图2-4

②检查电路后,开通实验仪的电源。

③按表2-3所列的输入变量,分别测试的相应的输出电平并将输出结果记入表2-3中。

④测试完毕,关闭实验仪的电源。

5①根据摩根定理,分别化简-

----------+=CD AB X 和X=A ⊙B 成为二输入与非门格式的逻辑表达式。 ②分别画出与或非门和同或门的测试表格。

③根据化简的逻辑表达式分别画出逻辑接线图,分别连接电路(与或非门和异或门分别进行)。 ④检查电路后,开通实验仪的电源。

⑤根据自己画的测试表格分别测试和交流输入、输出结果(与或非门和异或门分别进行)。 ⑥测试完毕,关闭实验仪的电源。

五、实验数据的处理与实验报告要求

1、整理测试所得数据,总结TTL 基本逻辑门的逻辑功能。

2、写出异或门、与或非门的逻辑转换为二输入与非门格式的过程与相应逻辑表达式。

3、进行各实验结果与理论值的比较分析。

4、对实验故障分析及写出实验结论。

六、注意事项

1、正式进行实验前应认真进行实验预习。

2、在关闭实验仪电源情况下搭建电路;开通实验仪器的电源前,应先检查电路电源是否短路。

3、实验过程注意记录实验故障和排除故障所采取的措施。

七、思考题

1、或非门和与或非门器件有多余输入端应如何处理?你在实验中是如何处理?

A

B

Y

图2-5

实验三:组合逻辑电路实验(一)

一、实验目的

1、学习并掌握分析和设计组合逻辑电路的方法;

2、学习用仪器检测故障,排除故障。

二、实验设备和环境

1. 数字电路实验箱1个

2. 示波器1台

3.数字万用表1个

4. 集成电路

2 输入四与非门74LS00 2片

2 输入四或非门74LS02 1片

6 反向器74LS04 1片

三、实验原理

1、用门电路设计组合逻辑电路的方法。

2、学习检查及排除线路故障的方法和技巧。

四、实验内容及要求

下图为一模拟的密码锁电路,开关A、B、C三个的动作有一定的顺序要求,只有满足其顺序要求闭合时,才能在报警灯(ALARM灯)不亮的情况下打开保险箱(即:OPEN灯亮)。

接线之前,先分析电路原理:列出两个指示灯的输出函数,再根据函数列出真值表,通过真值表分析找到正确的开锁顺序。

1、按照电路接线,验证分析结果。

2、把输出函数化简,根据化简结果,画出简化的电路图,验证是否具有同样的功能。

五、思考题

1、如果将密码锁变为四位、五位…,电路应如何设计?

2、如果密码锁在实际中应用,还需要做些什么工作?

实验四:组合逻辑电路实验(二)

一、实验目的

1. 掌握组合逻辑电路的设计方法。

2. 学会用基本门电路实现组合逻辑电路。

二、实验设备和环境

1. 数字电路实验箱1个

2. 示波器1台

3.数字万用表1个

4. 集成电路

2 输入四与非门74LS00 2片

2 输入四或非门74LS02 1片

6 反向器74LS04 1片

5. 其它元器件:连接线若干

三、实验原理

组合逻辑电路的设计流程如图 3.1 所示。先根据实际的

逻辑问题进行逻辑抽象,定义逻辑状态的含义,再按照

要求给出事件的因果关系列出真值表。然后用代数法或

卡诺图化简,求出最简的逻辑表达式。并按照给定的逻

辑门电路实现简化后的逻辑表达式,画出逻辑电路图。最后验证逻辑功能。

四、实验内容和步骤

1、用TTL与非门和反向器实现“用三个开关控制一个灯的电路。”要求改变任一开关状态都能控制灯由亮到灭或由灭到亮。试用双四输入与非门74LS20、3 输入三与非门74LS10和六反向器74LS04和开关实现。测试其功能。

2、用TTL与非门和反向器实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。

要求如下:

人类由四种基本血型—A、B、AB、O型。输血者与受血者的血型必须符合下述原则;O型血可以输给任意血型的人,但O型血的人只能接受O型血;AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血;A型血能给A型与AB型血的人;而A型血的人能够接受A型与O型血;B型血能给B型与AB 型血的人,而B型血的人能够接受B型与O型血。试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果输血者的血型符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。

统一约定:“00”代表“O”型;“01”代表“A”型;“10”

代表“B”型;“11”代表“AB”型

3. 设计一个密码锁,锁上有三个按键A、B、C,当A或B单独按下,或A、B同时按下,或三个键同时按下时,锁能被打开。当不符合上述条件时,将使电铃发声警报。但无键按下时,不报警。

根据要求设计出最简的逻辑电路。并用给出的TTL 门电路组成上面的逻辑电路。

输入接逻辑开关,输出接发光二极管,验证其逻辑功能。

4. 设S0和S1是数据选择器的控制端,D0、D1、D2 是数据输入端,F为输出端,试设计一个具有表 2.3.1 功能的数据选择器。并用给出的门电路实现该逻辑电路。

(1) 数据输入端D0、D1 、D2和控制端S0、S1分别接逻辑开关,输出接发光二极管。改变控制端和数据端的逻辑电平,记录F的逻辑状态。验证其是否满足表2.3.1 的逻辑功能。

(2) D2 接一个1kHz的脉冲信号,D0、D1为低电平,改变控制端的逻辑电平,用示波器观察并记录F端的波形。

六、思考题

实验报告要求:

1. 画出设计实验的逻辑电路,写出逻辑表达式。

2. 列表格整理实验数据。

3. 总结组合逻辑电路的设计方法。

实验五:加法器

一、实验目的

1. 掌握组合逻辑电路的功能测试。

2. 验证半加器和全加器的逻辑功能。

3. 复习二进制数的运算规律。

二、实验设备和环境

1. 数字电路实验箱1个

3. 示波器1台

三、消耗材料和工具

1.数字万用表1个

2. 集成电路

二输入端四与非门74LS00 2片

二输入端四异或门74LS86 1片

四组输入与或非门74LS54 1片

六反相器74LS04 1片

3. 其它元器件:连接线若干

四、实验内容和步骤

1. 半加器

(1) 用异或门(74LS86)和与非门(74LS00)设计实现一个半加器,其输入为A、B为两个加数,输出为半加和S及进位C。

2.全加器

(1) 用两片74LS00组成加法器电路,为便于接线和检查可能出现的错误,注意要标明芯片编号和各引脚对应的编号。其输入为Ai、Bi为两个加数和低位进位C i-1,输出为和Si 及进位Ci。

(2) 改变输入的状态,并填表。

(4)测试用异或门、或非门、与非门组成的全加器的逻辑功能。

根据要求设计出最简的逻辑电路。并用TTL 与非门组成上面的逻辑电路。

输入接逻辑开关,输出接发光二极管,验证其逻辑功能。

五、思考题

1. 画出实验的逻辑电路。

2. 按表格形式整理实验数据。

3. 思考:总结组合逻辑电路的分析和设计方法。

验六:集成触发器及其应用

一、实验目的

1. 掌握基本 RS 、D 和 JK 触发器的逻辑功能及测试方法。

2. 熟悉 D 和 JK 触发器的触发方法。

3. 了解触发器之间的相互转换。

二、实验设备和环境 1. 数字电路实验箱 1个 3. 示波器

1台

三、消耗材料和工具

1.数字万用表

1个 2. 集成电路 与非门 74LS00

1

片 双D 触发器 74LS74 1片

双JK 触发器

74LS112 1片

3. 其它元器件:连接线若干

四、实验原理

触发器是基本的逻辑单元,它具有两个稳定状态,在一定的外加信号作用下可以由一种稳定状态转变为另一稳定态;无外加信号作用时,将维持原状态不变。因为触发器是一种具有记忆功能的二进制存贮单元,所以是构成各种时序电路的基本逻辑单元。 1. 基本 RS 触发器

由两个与非门构成一个 RS 触发器如图 2.5.1(a) 所示。其逻辑功能如下:

(1) 当 S = R =1 时,触发器保持原先的 1 或 0 状态不变。 (2) 当 S = 1,R = 0 时,触发器被复位到“0”状态。 (3) 当 S = 0,R = 1 时,触发器被置位于“1”状态。

(4) 当 S = R = 0,尔后若 S 和 R 同时再由“0”变成“1”,则 Q 的状态有可能为 1,也可能为 0,完全由各种 RS 触发器的特性方程如下:

2. D 触发器

D 触发器是由 RS 触发器演变而成的。逻辑符号如图 2.5.2 所示,其功能表见表 2.5.1,由功能表可得

Q n+1=D (2.5.2) 常见的 D 触发器的型号很多,TTL 型的有 74LS74 (双D )、74LS175 (四 D )、74LS174 (六 D )、74LS374 (八 D ) 等。CMOS 型的有 CD4013 (双 D )、CD4042 (四 D ) 等。本实验中采用

n

n Q R S Q +=+174LS112

G N D

1

2

3

4

5

6

7

15

13

12

11

10

9

8

16

14

1K

1Q 1CP d R 1d

S 1Q 11J 2K 2CP 2Q

d R 2d S 2Q 22J 1D 1CP 74LS74

G N D

5

6

7

1312

1110

98

141Q d R 1d S 1Q 12D 2CP 2Q d R 2d S 2Q 2123

4

V CC V CC

维持-阻塞式双D 触发器74LS74,图 2.5.3 所示分别为其引线排列图,R D和S D是异步置“0”端和异步置“1”端,D 为数据输入端,Q为输出端,CP 为时钟脉冲输入端。

3. JK 触发器

JK 触发器逻辑功能较多,可用它构成寄存器、计数器等。图2.5.4 所示是JK 触发器的逻辑符号。常见的TTL 型双JK 触发器有74LS76、74LS73、74LS112、74LS109 等。CMOS 型的有CD4027 等。图为双JK 触发器74LS112 的引脚排列图。其中J、K 是控制输入端,Q 为输出端,CP 为时钟脉冲端。R D和S D分别是异步置“0”端和异步置“1”端。

当R D=1,S D=0 时,无论J、K 及CP 为何值,输出Q均为“1”;当R D=0,S D=1时,此时不论J、K 及CP 之值如何,Q 的状态均为“0”,所以R D,S D用来将触发器预置到特定的起始状态( “0” 或“1” )。预置完成后R D,S D应保持在高电平(即“1”电平),使JK 触发器处于工作方式。

当R D=S D=1 时,触发器的工作状态如下:

(1)当JK=00 时,触发器保持原状态。

(2)当JK = 01 时,在CP 脉冲的下降沿到来时,Q = 0,即触发器置“0”。

(3)当JK = 10 时,在CP 脉冲的下降沿到来时,Q = 1,触发器置“1”。

(4)当JK=11 时,在CP 脉冲的作用下,触发器状态翻转。

由上述关系可以得到JK 触发器的特征方程为:

4. T 触发器

T 触发器可以看成是JK 触发器在J = K条件下的特例,它只

有一个控制输入端T。它的特性方程是:

五、实验内容和步骤

1. 验证基本RS 触发器的逻辑功能

按图 2.5.1(a) 用74LS00 组成基本RS 触发器,并在Q端和

Q端接两只发光二极管,输入端S 和R 分别接逻辑开关。按

照表 2.5.2 的要求改变S 和R 的状态,观察输出端的状态,

并将结果填入表2.5.2。

2. 验证D 触发器逻辑功能

将74LS74 的R D、S D、D 连接到逻辑开关,CP 端接单次脉冲,

Q 端和Q端分别接两只发光二极管,接通是电源,按照表

2.5.3 中的要求,改变R D、S D、D 和CP 的状态。在CP 从0

到 1 跳变时,观察输出端Q n+1的状态,将测试结果填入表

2.5.3。

3. 验证JK 触发器逻辑功能

将74LS76 的R D、S D、J 和K 连接到逻辑开关,Q 和Q 端

分别接两只发光二极管,CP 接单次脉冲,接通电源,按照表

2.5.4 中的要求,改变R D、S D、J、K 和CP 的状态。在CP 从

1 到0 跳变时,观察输出端Q n+1的状态,并将测试结果填

入表 2.5.4。

4. 不同触发器之间的转换

(1) 将JK 触发器转换成D 触发器,自行画出转换逻辑图,检

验转换后电路是否具有D 触发器的逻辑功能。

(2) 将D 触发器转换成JK 触发器和T 触发器。自行分别画

出转换逻辑图,检验其逻辑功能。

六、思考题

1. 整理实验结果。

2. 画出触发器相互转换的逻辑电路。

3. 总结异步置位、复位端的作用。

4. 总结D 触发器、JK 触发器的状态变化与时钟的关系。

数字电路与逻辑设计

专升本《数字电路与逻辑设计》作业练习题6 解析与答案 一、单选题(选择最合适的答案) 1. 哪种逻辑门“只有在所有输入均为0时,输出才是1”? () A.或非门B.与非门C.异或门D.与或非门 答案:A 解析: 或非门 2.设两输入“与非”门的输入为x和y,输出为z,当z=1时,x和y的取值一定是() A. 至少有一个为1 B. 同时为1 C. 同时为0 D. 至少有一个为0 答案:D 解析: 与非逻辑 3. 两输入与非门输出为0时,输入应满足()。 A.两个同时为1 B.两个同时为0 C.两个互为相反D.两个中至少有一个为0 答案:A 解析:输入全为1 4. 异或门的两个输入为下列哪—种时,其输出为1? A.1,l B.0,1 C.0,0 D.以上都正确 答案:B 解析: 输入不同 5. 下列逻辑门中哪一种门的输出在任何条件下都可以并联使用?()A.具有推拉式输出的TTL与非门B.TTL集电级开路门(OC门) C.普通CMOS与非门D.CMOS三态输出门 答案:B 解析: A,C普通与非门不能并联使用; D三态输出门并联使用是有条件的:它们的使能端(控制端)必须反向,即只能有一个门处于非高阻态

ADABB 二、多选题(选择所有合适的答案) 用TTL 与非门、或非门实现反相器功能时,多余输入端应该( ) A .与非门的多余输入端应接低电平 B. 或非门的多余输入端应接低电平 C. 与非门的多余输入端应接高电平 D. 或非门的多余输入端应接低高平 答案:BC 解析: 多余输入端对与逻辑要接1,对或逻辑要接0 三、简答题 1. 分析如下两个由或非门、异或门、非门以及与非门构成的逻辑电路,请你:①写出F1和F2的逻辑表达式;②当输入变量A ,B 取何值时,两个电路等效? 答案:{ ① 根据图可写出两个电路的输出函数表达式分别为: 12()F A A B A A B A A B A A B A AB AB A A B F AB A B =⊕+=?++?+=??+++=+==+ = ②列出两个电路的真值表: 可见,无论A,B 取任何值,两个电路都等效。 }

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

如何看懂电路图【数字逻辑电路】

如何看懂电路图6--数字逻辑电路 2009-01-22 10:35 数字电子电路中的后起之秀是数字逻辑电路。把它叫做数字电路是因为电路中传递的虽然也是脉冲,但这些脉冲是用来表示二进制数码的,例如用高电平表示“ 1 ”,低电平表示“ 0 ”。声音图像文字等信息经过数字化处理后变成了一串串电脉冲,它们被称为数字信号。能处理数字信号的电路就称为数字电路。 这种电路同时又被叫做逻辑电路,那是因为电路中的“ 1 ”和“ 0 ”还具有逻辑意义,例如逻辑“ 1 ”和逻辑“ 0 ”可以分别表示电路的接通和断开、事件的是和否、逻辑推理的真和假等等。电路的输出和输入之间是一种逻辑关系。这种电路除了能进行二进制算术运算外还能完成逻辑运算和具有逻辑推理能力,所以才把它叫做逻辑电路。 由于数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 数字逻辑电路的第一个特点是为了突出“逻辑”两个字,使用的是独特的图形符号。数字逻辑电路中有门电路和触发器两种基本单元电路,它们都是以晶体管和电阻等元件组成的,但在逻辑电路中我们只用几个简化了的图形符号去表示它们,而不画出它们的具体电路,也不管它们使用多高电压,是 TTL 电路还是 CMOS 电路等等。按逻辑功能要求把这些图形符号组合起来画成的图就是逻辑电路图,它完全不同于一般的放大振荡或脉冲电路图。 数字电路中有关信息是包含在 0 和 1 的数字组合内的,所以只要电路能明显地区分开 0 和 1 , 0 和 1 的组合关系没有破坏就行,脉冲波形的好坏我们是不大理会的。所以数字逻辑电路的第二个特点是我们主要关心它能完成什么样的逻辑功能,较少考虑它的电气参数性能等问题。也因为这个原因,数字逻辑电路中使用了一些特殊的表达方法如真值表、特征方程等,还使用一些特殊的分析工具如逻辑代数、卡诺图等等,这些也都与放大振荡电路不同。 门电路和触发器 ( 1 )门电路 门电路可以看成是数字逻辑电路中最简单的元件。目前有大量集成化产品可供选用。 最基本的门电路有 3 种:非门、与门和或门。非门就是反相器,它把输入的 0 信号变成 1 ,1 变成 0 。这种逻辑功能叫“非”,如果输入是 A ,输出写成 P=A 。与门有 2 个以上输入,它的功能是当输入都是 1 时,输出才是 1 。这种功能也叫逻辑乘,如果输入是 A 、 B ,输出写成P=A·B 。或门也有 2 个以上输入,它的功能是输入有一个 1 时,输出就是 1 。这种功能也叫逻辑加,输出就写成 P=A + B 。 把这三种基本门电路组合起来可以得到各种复合门电路,如与门加非门成与非门,或门加非门成或非门。图 1 是它们的图形符号和真值表。此外还有与或非门、异或门等等。

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

数字电路与逻辑设计试题与答案(试卷D)

《数字集成电路基础》试题D (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、填空题(共30分) 1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于 ______偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A-B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1=______,其约束方程为:_____ _。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___ 个输入端,____输出端。 8. 下图所示电路中,Y 1 =______;Y =______;Y 3 =_____ 二、选择题(共 20分) 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F *为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()() D C B A ++ 1 A B 3

3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B. 每个与项中含有的变量个数少 C. 化简结果具有唯一性 5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B. A B C Y = C .C AB Y += D .C C B Y += 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A +++?

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

数字电路与数字逻辑练习题

一、填空 1. 数制变换: a) 将十进制数175转换成二进制数为_____ 、十六进制为_____ 、八进制为 __ 。 b) 二进制数(111010010)2对应的十六进制数是_____ 、八进制为—、十进制为 c) ( 16.52)8=( )2 =( )16= ( ) 10 d) ( 17)10=( ) 2 =( )16=( )8 2.编码: a) ( 1000)自然二进制码=( ) 余3码,(110100)2=( )BCD。 b) ( 15.5)10=( )8421BCH( )余3 BCD。 c) ( 38) 10用8421BCD码表示为 ____ 。 d) 二进制数(-100000)的原码为 _、补码为___。 e) [X]反=10111,则[X]补=—,[X]原= ___________ ,[X]真值= 。 g) [X]补=10110,则[X]反=—,[X]原= __ ,[X]真值= _ 。 3. 一种进位计数包含两个基本因素:______ 和____ 。 4. 常见的BCD编码中,有权码有____ 、___ ,无权码有___ 、___ 。 5. 如采用奇偶校验传送的数据部分为0111001,则所加奇校验位应为_____ ,偶校验位 应为_____ 。 6. 逻辑代数的基本运算有:___、___、___。 7. 当决定一事件的条件中,只要具备一个条件,事件就会发生,称这种关系为 逻辑关系,或称为关系。 8. ______________________________________________________ 真值表如下表,写出F1、F2、F3、F4的逻辑关系表达式______________________________ 9. _________________________________________ 逻辑函数F = A + AB以最小项形式表示为__________________________________________ ,可化简为______ 10.逻辑函数F =

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.()10=()2 =(1A.2)16 2.()10=()2 3.(1011111.01101)2=( )8=()10 4.()8=()16 5.(1011)2×(101)2=(110111)2 6.(486)10=(0)8421BCD =(0)余3BCD 7.()10=()8421BCD 8.()8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。

21.函数D =的最小项表达式为Y= ∑m(1,3,9,11,12,13,14,15)。 Y+ AB B 22.约束项是不会出现的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F(A,B,C)=∏M(1,3,4,6,7),则F(A,B,C)=∑m( 0,2,5)。 24.VHDL的基本描述语句包括并行语句和顺序语句。 25.VHDL的并行语句在结构体中的执行是并行的,其执行方式与语句书写的顺序无关。 26.在VHDL的各种并行语句之间,可以用信号来交换信息。 27.VHDL的PROCESS(进程)语句是由顺序语句组成的,但其本身却是并行语句。 28.VHDL顺序语句只能出现在进程语句内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL的数据对象包括常数、变量和信号,它们是用来存放各种类型数据的容器。 30.下列各组数中,是6进制的是。 A.14752 B.62936 C.53452 D.37481 31.已知二进制数,其对应的十进制数为。 A.202 B.192 C.106 D.92 32.十进制数62对应的十六进制数是。 A.(3E)16 B.(36)16 C.(38)16 D.(3D)16 33.和二进制数()2等值的十六进制数是。 A.()16 B.()16 C.()16 D.()16 34.下列四个数中与十进制数(163)10不相等的是。 A.(A3)16 B.()2 C.(0001)8421BCD D.(1)8 35.下列数中最大数是。

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字电路与逻辑设计(人民邮电出版社)课后答案(邹红主编)

1-1将下列二进制数转换成等值的十进制数和十六进制数。 (1)(1101010.01)2; (3)(11.0101)2; (2)(111010100.011)2; (4)(0.00110101)2; 解:二进制数按位权展开求和可得等值的十进制数;利用进制为2k数之间的特点可以直接将二进制数转换为等值的十六进制数。 (1)(1101010.01)2=1×26+1×25+1×23+1×21+1×2-2 =(106.25)10=(6A.4)16 (2)(111010100.011)2=1×28+1×27+1×26+1×24+1×22+1×2-2+ 1×2-3=(468.375)10=(1D4.6)16 (3)(11.0101)2=1×21+1×20+1×2-2+1×2-4 =(3.3125)10=(3.5)16 (4)(0.00110101)2=1×2-3+1×2-4+1×2-6+1×2-8 =(0.20703125)10=(0.35)16 1-2将下列十进制数转换成等值的二进制数、八进制数和十六进制数。要求二进制数保留小数点后4位有效数字。 (1)(378.25)10; (3)(56.7)10; (2)(194.5)10; (4)(27.6)10; 解法1:先将十进制数转换成二进制数,再用进制为2k数之间的特点可以直接将二进制数转换为等值的八进制数和十六进制数。 (1)(378.25)10=(101111010.0100)2=(572.2)8=(17A.4)16 (2)(194.5)10=(11000010.1000)2=(302.4)8=(C2.8)16

(3)(56.7)10 =(111000.1011)2=(70.54)8=(38.B )16 (4)(27.6)10 =(11011.1001)2=(33.44)8=(1B.9)16 解法 2:直接由十进制数分别求二进制、八进制和十六进制数。由于二进制 数在解法 1 已求出,在此以(1)为例,仅求八进制数和十六进制数。

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

数字电路与数字逻辑练习题

《数字电路与数字逻辑》练习题一 一、填空 1.将下列二进制数转为十进制数 (1001011)B = ( )D (11.011)B = ( )D 2.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+122)=( )真值 = ( )原码 =( )反码 = ( )补码 3.把下列4个不同数制的数D 、(110000)B 、(17A)H 、(67)O ( 按从大到小的 次 序 排 列 ( ) > ( )>( )>( ) 。将下列各式变换成最简与或式的形式 =+B AB ( ) =+AB A ( ) =++BC C A AB ( ) 4.将下列二进制数转为十进制数 (101000)B = ( )D (11.0101)B = ( )D 5.将下列十进制数转为二进制数,八进制数和十六进制数 (0.8125)= ( )B = ( )O = ( )H (254.25)= ( )B = ( )O = ( )H 6.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+125)=( )真值 = ( )原码 =( )反码 = ( )补码 (—42)=( )真值 = ( )原码 =( )反码 = ( )补码 7.逻辑函数C A CD AB F ++=的对偶函数F '是__________________________;其反函数F 是_________________________。 8.当j i ≠时,同一逻辑函数的最小项=?j i m m _________;两个最大项

=+j i M M ___________。 9.()10=(_________)2=(_________)16。 10.n 个输入端的二进制译码器,共有_________个输出端,对于每一组输入代码,将有_________个输出端具有有效电平。 11.将下列二进制数转为十进制数 (1010001)B = ( )D (11.101)B = ( )D 12.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+)=( )真值 = ( )原码 =( )反码 = ( )补码 13.把下列4个不同数制的数D 、(27A)H 、(10110)B 、(67)O 按从大到小的次序排列( )>( )>( )>( ) 。 14.对于D 触发器,欲使Qn+1=Qn ,输入D=( ),对于T 触发器,欲使Qn+1=Qn ,输入T=( ) 15.一个512*8位的ROM 芯片,地址线为( )条,数据线为( )条。 16.对32个地址进行译码,需要( )片74138译码器。 17.存储器起始地址为全0,256K*32的存储系统的最高地址为( )。 18.将下列各式变换成最简与或式的形式 ( ) ( ) ( ) 19.五级触发器的进位模数最大为( )进制。 20.十进制数()10转换成十六进制数是( ),转换成二进制数是( ),转换成八进制数是( ),转换成8421BCD 码为( )。 21.将二进制1100110转换成余3码为( ),转换成格雷码为( )。 22.设真值X= —0101,则X 的原码为( ),反码为( ),补码为( )。 23.卡诺图是( )的一种特殊形式。利用卡诺图法花剑逻辑函数比( )法更容易得到简化的逻辑函数表达式。 24.函数L=AC+BC 的对偶式为:( )。 25.一个1024*16位的ROM 芯片,地址线为( )位,数据线为( )位。 26.对于JK 触发器,若J=K ,可完成( )触发器的逻辑功能。 27.组合逻辑电路中部包含存储信号的( )元件,它一般是由各种( )组合而成的。 28.对64个地址进行译码,需要( )片74138译码器。 +AC 化成最小项的形式为( )。 30.将变换成或非的形式为( )。 31.数制转换 10=( )2 2=( )10 32.将下列有符号的十进制数转换成相应的二进制数真值﹑原码﹑反码和补码 (+11/32)=( )真值=( )原码 =( )反码=( )补码

数字逻辑与数字系统

数字逻辑与数字系统 课程设计 班级:计131 学号:201358501144 姓名:吴传文 指导教师:沙丽杰 2014年12月27~29日

目录 第一章功能简介 (2) 正常计时: (2) 整点报时: (2) 校时: (2) 暂停: (2) 清零: (2) 第二章总体结构框图 (2) 第三章各模块框图 (2) 第四章各模块VHDL程序 (3) 第五章仿真图 (9) 第六章下载检验 (10) 第七章心得体会 (10)

第一章功能简介 正常计时:秒(16)、分(16)、小时(12)计数;秒计时的频率为1Hz,数码管用动态扫描实时显示计时的秒、分、小时。 整点报时:逢整点蜂鸣器在“15”分钟的第11、13、秒发频率为512Hz的低音,在“15”分钟的第15秒发频率为1024Hz的高音。 校时:校小时(K1=1),显示小时数码管以4Hz的频率递增计数。 暂停:保持当前时间,暂态显示。 清零:清零当前时间,从零开始重新计时。 第二章总体结构框图 第三章各模块框图 1. 12和16进制框图 2. 动显及蜂鸣器

2 1 第四章各模块VHDL程序 libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; entity fenpin1 is port(clk:instd_logic; clr:instd_logic; y:out std_logic_vector(1 downto 0); co:outstd_logic); end fenpin1; architecture fp1 of fenpin1 is signal q: std_logic_vector(1 downto 0); begin process(clk) begin if(clr='0') then q<="00"; co<='0'; elsif(clk'event and clk='1') then if(q="01") then q<="00"; co<='1'; else q<=q+1; co<='0'; end if; end if; y<=q;

数字逻辑实验报告

数字逻辑实验报告:加法器

安徽师范大学 学院实验报告 专业名称软件工程 实验室 实验课程数字逻辑 实验名称加法器实验姓名 学号 同组人员 实验日期 2013.3.26

注:实验报告应包含(实验目的,实验原理,主要仪器设备和材料,实验过程 和步骤,实验原始数据记录和处理,实验结果和分析,成绩评定)等七项内容。具体内容可根据专业特点和实验性质略作调整,页面不够可附页。 实验目的:学会使用实验箱搭建基本组合逻辑电路。 实验原理:全加器是中规模组合逻辑器件,它实现二进制数码的加法运算,是计算机中最基本的运算单元电路。一位加法器有三个输入端Ai 、B i 、C i -1,即被加数,有两个输出端S i 和B i 即相加及向高一位的进位输出。 (全加真值表) Si=A i B i C i -1+A i B i C i -1+A i B i C i -1+A i B i C i -1 C i =A i B i +A i C i -1+B i C i -1 全加器主要用于数值运算;另外,全加器还可以实现组合逻辑函数。 主要仪器设备和材料:数字逻辑电路实验装置、芯片 74LS32、芯片 74LS08、 芯片74LS86,导线 实验过程和步骤: ①关闭实验箱的电源开关,将三个芯片正确地安装在实验箱装置上; ②分别用三根导线将三个芯片的第14号引脚与实验箱左下角的+5V 连接起来,,再分别用三根导线将三个芯片的第7号引脚与实验箱左下角的GND 连接 Ai B i C i -1 S i B i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

数字电路与逻辑设计课程设计

课程设计说明书 课程设计名称数字电路与逻辑设计 专业计算机科学与技术 班级150403班 学生姓名陆文祥 指导教师宋宇 2016 年12 月19 日

课程设计任务书

题目:1.简易数字电子钟的设计与制作 2.简易数字频率计的设计与制作 3.简易智力竞赛抢答器的设计与制作 4.简易玩具电子琴的设计与制作 5.自选题目:自动电子钟 目录 设计实验一 (4) 设计实验二 (8) 设计实验三 (11) 设计实验四 (15) 自选题目 (20)

设计实验一 一、实验题目: 简易数字电子钟的设计与制作 二、设计目的 1、了解计时器主体电路的组成及工作原理; 2、掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; 3、熟悉集成电路及有关电子器件的使用; 三、实验要求 要求设计一个能显示两位秒信号的数字电子钟,分电路设计、电路安装、电路调测三个阶段完成。 四、实验内容 (一).设计原理思路: 本次设计以数字电子为主,分别对时钟信号源、秒计时显示、分计时显示、小时计时显示进行设计,然后将它们组合,来完成时、分、秒的显示并通过本次设计加深对数字电子技术的理解以及更熟练使用计数器、触发器和各种逻辑门电路的能力。电路主要使用集成计数器,如74ls90、74ls48,LED数码管及各种门电路和基本的触发器等,电路使用直流电源供电,很适合在日常生活中使用数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。数字电子钟由以下几部分组成:六十进制秒、分计数器、二十进制时计数器;以及秒、分、时的译码显示部分等。 (二)实验电路图 图1 数字电子钟

数字逻辑设计实验报告-实验13教材

浙江大学城市学院实验报告 课程名称 数字逻辑设计实验 实验项目名称 实验十二 数据选择器应用 学生姓名 专业班级 学号 实验成绩 指导老师(签名 ) 日期 注意: ● 务请保存好各自的源代码,已备后用。 ● 完成本实验后,将实验项目文件和实验报告,压缩为rar 文件,上传ftp 。如没有个人 文件夹,请按学号_姓名格式建立。 ftp://wujzupload:123456@10.66.28.222:2007/upload ● 文件名为:学号_日期_实验XX ,如30801001_20100305_实验0 1 一. 实验目的和要求 1. 掌握数据选择器的逻辑功能和使用方法。 2. 学习用数据选择器构成组合逻辑电路的方法。 二. 实验内容、原理及实验结果与分析 1、用74LS151实现逻辑函数 要求实现BC A AC C B A Y ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。 计算得到m0=m7=0,m2=m4=m5=m6=1,m1=m3=D (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

(3)组合输出信号 (4)配置管脚 (5)下载到FPGA

(6) 74LS151的输入端接逻辑电平输出(拨位开关),输出端Z 接逻辑电 平显示(发光二极管)。逐项测试电路的逻辑功能,记录测试结果。 2、用74LS151实现逻辑函数 要求实现逻辑函数C B CD A B A F ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。芯片插法,电源、地线接法与实验内容1相同,这里只需要自己实现逻辑函数,然后连线实现其功能。 (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

数字电路与数字逻辑》期末考试及答案

《数字电路与数字逻辑》 期末考试试卷 考生注意:1.本试卷共有五道大题,满分100分。 2.考试时间90分钟。 3.卷面整洁,字迹工整。 一、填空题(每小题1分,共20分) 1.将下列二进制数转为十进制数 (1010001)B = ( )D ( 11 . 101 ) B = ( )D 2.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 ( +254.25 ( ( )原码 =( ) 反码 = ( )补码 3.把下列4个不同数制的数(76.125)D 、 (27A)H 、 (10110)B 、(67)O 按从大到小的次序排列( )> ( )>( )>( ) 。 4.对于D 触发器,欲使Q n+1=Q n , 输入D=( ),对于T 触发器,欲使Q n+1=Q n ,输入T=( ) 5.一个512*8位的ROM 芯片,地址线为( )条,数据线为( )条。 6. 对32个地址进行译码,需要 译码器。 0,256K*32 统的最高地址为 8.将下列各式变换成最简与或式的 形式 =+B A ( ) =+B A A ( ) = ++C B C A AB ( ) 9.五级触发器的进位模数最大为( )进制。 二、组合电路设计题(每空10分,共20分) 1.用八选一数据选择器74LS151实现逻 辑函数 AC BC AB C B A L ++=),,( (10分) 2、用74LS138设计一个电路 实现函数F = AB+ B C (提示:在74LS138的示意图上直接连线即可)(10分)

三、组合电路分析题(共10分) 已知逻辑电路如下图所示,分析该电路 的功能。 四、分析题(共24分) 1、分析如下的时序逻辑电路图,画出其 状态表和状态图,并画出Q1,Q2 的波形图,Q1Q2初态为00。(14 分) 2、电路如图所示,要求写出它们的输 出函数表达式,化简,并说出它们的逻 辑功能。(10分) 五、设计题(共26分) 1.用JK触发器设计一个“111”序列检 测器,允许重复,要求用一个输出信号 来表示检测结果。(16分) 2、试用74161设计一个同步十进制计数 器,要求采用两种不同的方法。(10分) 《数字电路与数字逻辑》期末 考试答案 一、填空 1、81, 3.625 3、(27A)H>(76.125)D>(67)O>(10110) B 4、Q n, 1 5、9, 8 6、4 7、(3FFF)H 8、B A A+ B AB+ C 9、32进制 二、组合逻辑设计题 1、(5分) F=C B A BC A C AB ABC+ + +=m3d3+ m5d5+m6d6+m7d7 (5分)则d3 d5 d6 d7为1,其他为0,画图略。 2、F= Y3 Y4Y5 Y7 三、组合逻辑分析题。 (5分)F=C B A⊕ ⊕ (5分)异或功能 四、时序电路 1、状态方程:(4分) Q Q Q Q Q Q D Q n n n n n n n K J 2 1 2 2 1 2 1 1 1 1 = + = = = + + 画波形图(2分) 2、L= = (4分); C 1 =AB+(A+B)C(4分); 全加器(2分) 五、 1、设计题

相关文档
相关文档 最新文档