文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术试卷和答案

数字电子技术试卷和答案

数字电子技术试卷和答案
数字电子技术试卷和答案

数字电子技术试卷(1)

一.填空(16)

1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.100001100001是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。

5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。

8.实现A/D 转换的主要方法有 , , 。 二.判断题(10) 1.BCD 码即8421码 ( 错 ) 2.八位二进制数可以表示256种不同状态。 ( 对 ) 3.TTL 与非门与CMOS 与非门的逻辑功能不一样。 ( ) 4.多个三态门的输出端相连于一总线上,使用时须只让一个三态门传送信号,其他门处于高阻状态。 (对 ) 5.计数器可作分频器。 ( 对 ) 三.化简逻辑函数(14)

1.用公式法化简-

-

+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=-

2.用卡诺图化简∑∑=

m

d

D C B A Y ),,,,()+,,,,

(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。

五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q

n +=-

+1

,(2)、A Q n =+1

六.试用触发器和门电路设计一个同步的五进制计数器。(15)

七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作波形,并求出振荡频率。(15)

数字电子技术试卷(2)

三.填空(16)

1.十进制数35.85的二进制数是 ;十六进制数是 。 2.逻辑代数中逻辑变量得取值为 0、1 。 3.组合逻辑电路的输出状态只与 当前输入 有关而与电路 原状态无关 。 4.三态门的输出有0、1、高阻 ,三种状态,当多个三态门的输出端连在一根总线上使用时,应注意 只能有1个三态门被选通。 。 5.触发器的基本性质有 有两个稳态,在触发信号作用下状态可相互转变,有记忆功能

6.单稳态触发器的主要应用是 延时 。

7.设6位D/A 转换器的满度输出电压位6.3伏,则输入数字量为110111,输出模拟电压为 。

8.一个8K 字节的EPROM 芯片,它的地址输入端的个数是 13 。 判断题(10)

1.数字电路中,化简逻辑函数的目的是为了所设计的逻辑电路更简单,更经济,而且其功能不变。 (对 ) 2.二进制数1001和二进制代码1001都表示十进制数。 ( 错 ) 3.触发器的输出状态完全由输入信号决定。 ( 错 ) 4.模拟量送入数字电路前,须经A/D 转换。 ( 对 ) 5.多谐振荡器常作为脉冲信号源使用。 (对 ) 三.化简逻辑函数(14)

1.用公式法化简-

-

-

+++++=C B BD ABC D BC ABD D ABC Y ,化为最简与或表达式。 2.用卡诺图化简∑∑=m

d

D C B A Y )

()+(15,10,5,014,11,8,7,3,2),,,(,化为最简与或表达式。

四.设计一个8421码的检码电路。要求当输入大于等于3、小于等于7时电路输出为1,否则电路输出为0。要求列出真值表,写出逻辑函数式,画出逻辑图。(15)

五.触发器电路如图1(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)。(15)

六.分析图2电路实现何种逻辑功能,其中X 是控制端,对X =0和X =1分别分析,设初态为1,112==Q Q 。(要求写出电路方程,列出状态转换表或图,说明其逻辑功能,并说明电路能否自启动)(15) 七.试用8选1数据选择器和74LS161芯片设计序列信号发生器。芯片引脚图如图3所示,序列信号为11001101(左位为先)。(15)

数字电子技术试卷(3)

四.填空(16)

1.十进制数86的二进制数是 ;8421BCD 码是 。 2.在Y=AB+CD 的真值表中,Y =1的状态有 个。 3.4位二进制数码可以编成 个代码,用这些代码表示0~9十进制输的十个数码,必须去掉 代码。

4.描述触发器逻辑功能的方法有 。 5.若Q =1,J=0,K=1,则=+1n Q

。 。 6.设ROM 地址为70~A A ,输出为30~Y Y ,则ROM 的容量为

7.一个8位二进制D/A 转换器的分辨率为0.025,则输入数字量为11010011时,输出模拟电压为 。 8. 和 是衡量A/D 、D/A 转换器性能优劣的主要指标。 五.回答问题(10)

1.已知XY=XZ ,则Y=Z ,正确吗?为什么?

2.五位环形计数器的时钟频率为10KHz,其输出波形的频率是多少? 三.化简逻辑函数(14)

1.用公式法化简C B BC BC A BCD A A Y -

-

++++=____

,化为最简与或表达式。 2.用卡诺图化简∑∑=m

d

D C B A Y )

()+(11,10,9,3,2,113,8,6,4,0),,,(,化为最简与或表达式。

四.由双4选1数据选择器组成的电路如图1所示,①写出21,Y Y 的表达式。 ②列出21,Y Y 的真值表。(15)

五.某室由3台计算机工作站,请用红、黄、绿3种指示灯设计一个监视电路,要求:3台计算机正常工作时,绿灯亮;只一台出故障时黄灯亮;有两台出故障时,红灯亮;若3台计算机同时出故障时,则黄灯和红灯都亮。试用门电路设计。要求:列出真值表,写出逻辑函

数式,画出逻辑电路图。(15)

六.触发器电路及输入波形如图2所示,要求:写出电路方程,画出21,Q Q 与Y 的对应波形。(设21,Q Q 的初态为11)(15)

七.试用中规模集成十六进制计数器74LS161芯片设计一个十三进制计数器,要求必须包括0000和1111状态,利用C 端左进位输出。芯片引脚图如图3所示。(15)

数字电子技术试卷(4)

六.填空(16)

1.十进制数3.5的二进制数是

;8421BCD 码是

2.在()B A A Y ⊕=的结果是 。

3.D 触发器的状态方程为 ,如果用D 触发器来实现T 触发器的功能,则T 、D 间的关系为 。

4.一个64选1的数据选择器,它的选择控制端有 个。

5.6位D/A 转换器满度输出电压为10伏,输入数字为001010时对应的输出模拟电压为

伏。

6.一片64K ×8存储容量的只读存储器ROM ,有 条地址线,有 条数据线。

7. 由555定时器构成的单稳态触发器,输出脉宽≈W T 。

8.

是衡量A/D 、D/A 转换器性能优劣的主要指标。 七.回答问题(10)

1.已知XY=XZ ,则Y=Z ,正确吗?为什么? 2.已知X+Y=XY ,则X=Y ,正确吗?为什么? 三.化简逻辑函数(14)

1.用公式法化简C B AC C B A Y +++=-

--,化为最简与或表达式。 2.用卡诺图化简∑∑=

m

d

D C B A Y )

()+(11,5,4,3,210,8,1,0),,,(,化为最简与或表达式。 四.分析图1所示电路,要求列出21,Y Y 的逻辑表达式和真值表,并说出电路的逻辑功能指出输入变量和输出函数的含义。(15)

五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15)

六.试用D 3的同步计数器。要求有设计过程。(15)

七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O,V C的工作波形,并求出振荡频率。(15)

数字电子技术试卷5

一.选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内,每

小题2分,共20分)

1. 将十进制数(3.5)10转换成二进制数是 ( ) ① 11.11 ② 10.11 ③ 10.01 ④ 11.10

2. 三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 ( ) ① m2 ② m5 ③ m3 ④ m7

3.一片64k ×8存储容量的只读存储器(ROM ),有 ( ) ①64条地址线和8条数据线 ②64条地址线和16条数据线 ③16条地址线和8条数据线 ④16条地址线和16条数据线

4. 在ADC 工作过程中,包括保持a ,采样b ,编码c ,量化d 四个过程,他们先后顺序应该是 [ ]

① abcd ② bcda ③ cbad ④ badc

5.以下各种ADC 中,转换速度最慢的是 ( ) ① 并联比较型 ② 逐次逼进型 ③ 双积分型 ④ 以上各型速度相同

6. 一个时钟占空比为1:4,则一个周期内高低电平持续时间之比为 ( ) ① 1:3 ② 1:4 ③ 1:5 ④ 1:6

7. 当三态门输出高阻状态时,输出电阻为 ( ) ① 无穷大 ② 约100欧姆 ③ 无穷小 ④ 约10欧姆

8.通常DAC 中的输出端运算放大器作用是 ( ) ① 倒相 ② 放大 ③ 积分 ④ 求和

9. 16个触发器构成计数器,该计数器可能的最大计数模值是 ( ) ① 16 ② 32 ③ 162 ④ 216

10.一个64选1的数据选择器有( )个选择控制信号输入端。 ( ) ① 6 ② 16 ③ 32 ④ 64

二.判断题(20分)

1. 两个二进制数相加,并加上来自高位的进位,称为全加,所用的电路为全加器( ) 2.三态门输出为高阻时,其输出线上电压为高电平( ) 3. 前进位加法器比串行进位加法器速度慢( )

4.译码器哪个输出信号有效取决于译码器的地址输入信号( ) 5.五进制计数器的有效状态为五个( )

6.施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。( ) 7.当时序逻辑电路存在无效循环时该电路不能自启动() 8.RS 触发器、JK 触发器均具有状态翻转功能( ) 9.D/A 的含义是模数转换( )

10.构成一个7进制计数器需要3个触发器( ) 三、简答题(每小题5分,共10分) 1.用基本公式和定理证明下列等式: ()ABC BC A C AB B C AB ++=+。

2请写出RS 、JK 触发器的状态转移方程,并解释为什么有的触发器有约束方程。 四.用卡诺图化简以下逻辑函数 (每小题5分,共10分) 1.D C A C B A D C D C A ABD ABC Y ++?+++=

2.()D C A C B A B A D C Y ?++⊕=,给定约束条件为AB +CD =0

五.一个组合电路具有3个输入端A,B,C,一个输出端Y,其输入和输出波形如图1所示,使用或非门设计电路。(15分)

六.8选1数据选择器CC4512的逻辑功能如表4.1所示。试写出图2所示电路输出端Y的最简与或形式的表达式。(10分)

七.如图3所示电路的计数长度N是多少?能自启动吗?画出状态转换图。(15分)

数字电子技术试卷(06)

一、数制转换(12)

1、(10010111)2=( )16=( )10 2、(8C)16=( )2=( )10 3、( 127 )10=( )2=( )16 4、( 110101.11)8=( )16 5、(-1101B)原码=( )反码=( )补码 二、选择填空题(12) 1)、以下的说法中,——是正确的。

a) 一个逻辑函数全部最小项之和恒等于0 b )一个逻辑函数全部最大项之和恒等于0 c )一个逻辑函数全部最大项之积恒等于1 d )一个逻辑函数全部最大项之积恒等于0 2)、若将一个TTL异或门(输入端为A、B)当作反相器使用,则A、B端应——连接。

a )A或B有一个接1

b )A或B有一个接0

c )A和B并联使用

d )不能实现 3)、已知R、S是或非门构成的基本RS触发器的输入端,则约束条件为——。

a )RS=0

b )R+S=1

c )RS=1

d )R+S=0 4)、用8级触发器可以记忆——种不同的状态。

a )8

b )16

c )128

d )256 5)、由3级触发器构成的环形和扭环形计数器的计数模值依次为——。

a )8和8

b )6和3

c )6和8

d )3和6

三、用卡诺图化简法将下列逻辑函数化为最简与或形式(12)

(1)、D C A D C A C B A D C A B D A B C Y +++++=

(2)、D C B A D C B A D C A Y ++++=,给定约束条件为:

0=+++++ABCD D ABC D C AB D C AB CD B A D C B A

四、证明下列逻辑恒等式(方法不限)(12) (1)、1))(()(=+++++C B D B A C B D C C B A (2)D C A D C B A D AC D C B D C A ⊕+=+++⊕+)(

五、设计一位二进制全减器逻辑电路。(D=A-B-CI,A:被减数,B:减数,CI:

借位输入,D:差,另有CO:借位输出)(16)

六、分析如下时序电路的逻辑功能。FF1、FF2和FF3是三个主从结构的JK触发器,下降沿动作,输入端悬空时和逻辑1状态等效。(20)

七、如图所示,用555定时器接成的施密特触发器电路中,试求:(16)

(1)当VCC=12V,而且没有外接控制电压时,VT+、VT-及ΔVT值。

(2)当VCC=9V,外接控制电压VCO=5V时,VT+、VT-及ΔVT各为多少。

电子技术试卷(07)

二、数制转换(12)

1、(1101101)2=()16=()10

2、(3D.BE)16=()2=()10

3、(25.7)10=()2=()16

4、(010110000111)8421BCD=()8

5、(-00101B)原码=()反码=( )补码

二、选择填空题(12)

1)、以下的说法中,——是正确的。

a) 一个逻辑函数全部最小项之和恒等于0

b)一个逻辑函数全部最大项之和恒等于0

c)一个逻辑函数全部最大项之积恒等于1

d)一个逻辑函数全部最大项之积恒等于0

2)、已知R、S是与非门构成的基本RS触发器的输入端,则约束条件为——。

a )R+S=1b)R+S=0

c)RS=1d)RS=0

3)、若JK触发器的原状态为0,欲在CP作用后仍保持为0状态,则激励函数JK的值应

是——。

a )J=1,K=1

b )J=0,K=0

c ) J=0,K=Χ

d )J=Χ,K=Χ 4)、同步计数器是指——的计数器。

a )由同类型的触发器构成。

b )各触发器时钟端连在一起,统一由系统时钟控制。

c )可用前级的输出做后级触发器的时钟。

d )可用后级的输出做前级触发器的时钟。

5)、同步四位二进制计数器的借位方程是B=1234Q Q Q Q ,则可知B的周期和正脉冲宽度为——。

a )16个CP周期和2个CP周期。

b )16个CP周期和1个CP周期。

c )8个CP周期和8个CP周期。

d )8个CP周期和4个CP周期。

三、用卡诺图化简法将下列逻辑函数化为最简与或形式(12) (1)、D C BC C A B A Y +++= (2)、Y (A,B,C,D)=Σ

(m 3,m 5,m 6,m 7,m 10),给定约束条件

为:m 0+m 1+m 2+m 4+m 8=0

六、证明下列逻辑恒等式(方法不限)(12) (1)、C B AB D B D B C A +=+++))()(( (2)、D B D B C A C A D C B A A B C D

D C B A D C B A +++=+++

五、分析下图所示电路中当A 、B、C、D单独一个改变状态时是否存在竞争-冒险现象?如果存在,那么都发生在其它变量为何种取值的情况下?(16)

六、分析如下时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图(20)。

七、如图所示,用CMOS反相器组成的施密特触发器电路中,若R1=50KΩ,R2=100KΩ,VDD=5V,VTH=VDD/2,试求电路的输入转换电平VT+、VT-以及回差电压ΔVT。(16)

数字电子技术试卷(08)

三、数制转换(10):

1、(11.001)2=( )16=( )10

2、(8F.FF)16=( )2=( )10

3、( 25.7)10=( )2=( )16

4、(+1011B)原码=( )反码=( )补码

5、(-101010B)原码=( )反码=( )补码 二、选择填空题(10) 1)、主从JK触发器是——。

a )在CP上升沿触发

b )在CP下降沿触发

c )在CP=1稳态触发

d )与CP无关 2)、T触发器的特性方程是——。

a )n n n Q T TQ Q +=+1

b )n n Q T Q =+1

c )n n n Q T Q T Q +=+1 d) n n Q T Q =+1 3)、用8级触发器可以记忆——种不同的状态。

a )8

b )16

c )128

d )256 4)、存在约束条件的触发器是——。

a )基本RS触发器

b )D 锁存器

c )JK触发器

d )D触发器

5)、构成模值为256的二进制计数器,需要——级触发器。

a )2

b )128

c )8

d )256

三、判断题:判断下列说法是否正确,正确的打“√”,错误的打“Χ”。(10) 1)、1001个“1”连续异或的结果是1。 ( ) 2)、已知逻辑A+B=A+C,则B=C。 ( ) 3)、已知逻辑AB=AC,则B=C。 ( ) 4)、函数F连续取100次对偶,F不变。 ( ) 5)、正“与非”门也就是负“或非”门。 ( )

四、用卡诺图化简法将下列逻辑函数化为最简与或形式(10) (1)、C B AC B A Y ++=

(2)、D C A C B A B A D C Y ++⊕=)(,给定约束条件为:AB+CD=0 五、证明下列逻辑恒等式(方法不限)(15) (1)、B A B A B B A +=++

(2)、1))(()(=+++++C B D B A C B D C C B A

(3)、D B D B C A C A D C B A ABCD D C B A D C B A +++=+++

六、试画出用3线-—8线译码器74LS138和门电路产生如下多输出逻辑函数的逻辑电路图。(74LS138:输入A2、A1、A0;输出7Y …0Y )(15)

AC Y =1

BC C B A C B A Y ++=2

C AB C B Y +=3

七、分析如下时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。(20)

八、试叙述施密特触发器的工作特点及主要用途。(10)

数字电子技术试卷(09)

四、数制转换(10):

1、(1.01011111)2=( )16=( )10 2、(10.00)16=( )2=( )10 3、(0.39 )10=( )2=( )16 4、(+00110B)原码=( )反码=( )补码 5、(-1101B)原码=( )反码=( )补码 二、选择填空题(15) 1)、同步计数器是指——的计数器。

a )由同类型的触发器构成。

b )各触发器时钟端连在一起,统一由系统时钟控制。

c )可用前级的输出做后级触发器的时钟。

d )可用后级的输出做前级触发器的时钟。 2)、已知Q3Q2Q1Q0是同步十进制计数器的触发器输出,若以Q3做进位,则其周期和正脉冲宽度是——。

a )10个CP周期和1个CP周期。

b )10个CP周期和2个CP周期。

c )10个CP周期和4个CP周期。

d )10个CP周期和8个CP周期。 3)、若四位同步二进制计数器当前的状态是0111,下一个输入时钟脉冲后,其内容变为——。

a )0111

b )0110

c )1000

d )0011

4)、若四位二进制加法计数器正常工作时,由0000状态开始计数,则经过43个输入计数脉冲后,计数器的状态应是——。

a )0011

b )1011

c )1101

d )1010

5)、在下列功能表示方法中,不适合用于时序逻辑电路功能表示方法的是——。

a )状态转换图

b )特性方程

c )卡诺图

d )数理方程

三、用逻辑代数的基本公式和常用公式将下列逻辑函数化为最简与或形式(10) (1)、

(2)、

四、用卡诺图化简法将下列逻辑函数化为最简与或形式(10) (1)、BD C D A B A C B A Y ++++= (2)、Y (A,B,C)=Σ

(m 0,m 1,m 2,m 4),给定约束条件为:m 3+

m 5+m 6+m 7=0

五、证明下列逻辑恒等式(方法不限)(10) (1)、B A B A B B A +=++

(2)、C B AB D B D B C A +=+++))()((

六、试用四位并行加法器74LS283设计一个加/减运算电路。当控制信号M=0时它将两个输入的四位二进制数相加,而M=1时它将两个输入的四位二进制数相减。允许附加必要的门电路。(74LS283:输入变量A(A 3A 2A 1A 0)、B(B 3B 2B 1B 0)及CI,输出变量S(S 3S 2S 1S 0)及CO)(15)

七、对某同步时序电路,已知状态表如下表所示,若电路的初始状态Q1Q0=00,输入信号波形如图所示,试画出Q1、Q0 的波形(设触发器响应于负跳变)(15)。

CP

八、在图所示的权电阻网络D/A 转换器中,若取VREF=5V,试求当输入数字量为d 3d 2d 1d 0=0101时输出电压的大小(15)。

数字电子技术试卷(10)

五、数制转换(10):

1、(3D.BE)16=( )2=( )10 2、( 17 )10=( )2=( )16 3、( 74.3)8=( )10 4、(+1011B)原码=( )反码=( )补码 5、(-101010B)原码=( )反码=( )补码

二、选择填空题(10) 1)、若将一个TTL异或门(输入端为A、B)当做反相器使用,则A、B端应——连接。

a )A或B有一个接1

b )A或B有一个接0

c )A和B并联使用

d )不能实现 2)、由10级触发器构成的二进制计数器,其模值——。

a )10

b )20

c )1000

d )1024

3)、已知Q3Q2Q1Q0是同步十进制计数器的触发器输出,若以Q3做进位,则其周期和正脉冲宽度是——。

a )10个CP周期和1个CP周期。

b )10个CP周期和2个CP周期。

c )10个CP周期和4个CP周期。

d )10个CP周期和8个CP周期。 4)、在下列功能表示方法中,不适合用于时序逻辑电路功能表示方法的是——。

a )状态转换图

b )特性方程

c )卡诺图

d )数理方程

5)、用反馈复位法来改变8位二进制加法计数器的模值,可以实现——模值范围的计数器。

a )1∽15

b )1∽16

c )1∽32

d )1∽256

三、用逻辑代数的基本公式和常用公式将下列逻辑函数化为最简与或形式(10) (1)、 (2)、

四、用卡诺图化简法将下列逻辑函数化为最简与或形式(10) (1)、ABC B A C B B A Y ++++= (2)、Y (A,B,C,D)=Σ

(m 2,m 3,m 7,m 8,m 11,m 14),给

定约束条件为:m 0+m 5+m 10+m 15=0 五、证明下列逻辑恒等式(方法不限)(10) (1)、B A B A B B A +=++

(2)D C A D C B A D AC D C B D C A ⊕+=+++⊕+)(

六、分析下图所示电路中当A、B、C、D单独一个改变状态时是否存在竞争-冒险现象?如果存在,那么都发生在其它变量为何种取值的情况下?(15)

七、试分析下图所示时序电路,画出其状态表和状态图。设电路的初始状态为0,画出在图示波形图作用下,Q和Z的波形图(20)。

CP

X

Q

K

J

=1

Z

CP

X

八、比较并联比较型A/D转换器、逐次渐近型A/D转换器和双积分型A/D转换器的优缺点,指出它们各适于哪些情况下采用(15)。

数字电子技术试卷(11)

一、选择(20分)

1、一个四输入端与非门,使其输出为0的输入变量取值组合有种。

A.15

B.7

C.3

D.1

2、对于JK触发器,若J=K,则可完成触发器的逻辑功能。

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电子技术基础习题及答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.100001100001是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工 作波形,并求出振荡频率。(15)

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术习题附答案

一、填空题。 1 .基本的逻辑门电路有与,或,非。 2.基本逻辑运算有_与_、或、非3种。 3.描述逻辑函数各个变量取值组合与函数值对应关系的表格叫真值表。 4.十进制数72用二进制数表示为 1001000 ,用8421BCD码表示为 01110010 。二进制数111101用十进制数表示为 61 5.数制转换:(8F)16 = ( 143 )10= ( 10001111 )2 = ( 217 )8;(3EC)H = ( 1004 )D; (2003) D = (11111010011)B = ( 3723)O。 6.有一数码10010011,作为自然二进制数时,它相当于十进制数147,作为8421BCD码时,它相当于十进制数93 。 7.(35.75)10=( 100011.11 )2 = ( 00110101.01110101 )8421BCD 。 8.在8421BCD码中,用 4 位二进制数表示一位十进制数。 9.在逻辑运算中,1+1= 1 ;十进制运算中1+1= 2 ;二进制运算中1+1= 10 。 10、表示逻辑函数功能的常用方法有逻辑表达式、逻辑真值表、卡诺图等。 11.将2004个“1”异或得到的结果是(0)。 12.TTL门电路中,输出端能并联使用的有__OC门__和三态门。 13. 在TTL与非门电路的一个输入端与地之间接一个10KΩ电阻,则相当于在该输入端输入高电平。14.TTL与非门多余输入端的处理方法通常有接至正电源,接至固定高电平,接至使用端。 https://www.wendangku.net/doc/0c15798922.html,逻辑门是单极型门电路,而TTL逻辑门是双极型门电路。 16.与TTL电路相比,COM电路具有功耗低、抗干扰能力强、便于大规模集成等优点。 17.TTL门电路的电源电压一般为 5 V,CMOS电路的电源电压为3—18 V 。 18.OC门的输出端可并联使用,实现线与功能;三态门可用来实现数据的双向传递、总线结构等。19.三态门输出的三态为1、0、高阻态。 20.为使F=A ,则B应为何值(高电平或低电平)? 1 0 1 21.指出图中各TTL门电路的输出是什么状态(高电平、低电平、高阻)? Y1=0 Y2=1 Y3=高阻态Y4=1 22.若上题图中各电路为CMOS门电路,请问各门电路的输出是什么状态? Y1=1 Y2=1 Y3=高阻态Y4=1 23.函数Y=AB+AC的最小项表达式为(5,6,7) m ∑。 24. 如果对键盘上108个符号进行二进制编码,则至少要7位二进制数码。 注意:红色字体部 分为简略参考答 案,解题过程不全 面、不详细。

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电路测试题2答案

数字电路测试题2答案 一、 填空 1、(110110)2 = ( 54 )10 = ( 66 )8 = ( 01010100 )8421BCD 。 2、逻辑代数有 与 、 或 、 非 三种基本运算。 3、逻辑函数有 真值表 、 逻辑表达式 、 逻辑图 、 波形图 、 卡诺图 五种表示方法。 4、逻辑函数D C B B A F ??+=的反函数F =(A+B )(B +C+D),对偶函数 F '=(A +B)(B+D C +) 5、用卡若图化简函数,包围圈内相邻项的个数应为n 2。 6、C B AC C B A F ++=的最小项之和式F=A B C +A B C+ABC+A B C 7、常用集成芯片74LS00、74LS76、74LS151的名称分别是:四二输入与非门、双JK 触发器 、 八选一数据选择器。 8、如图1—1所示 : 图1—1 F 1= AB+BC 、 F 2= 1 、 F 3=A+B 。 9、如图1—2所示,电路的输出: 1)、当 C=0时, F = A+B 2)、当 C=1时, F = 高阻态 F 图1—2 10、JK 触法器是一种功能齐全的触发器,它具有 保持 、 置0 、 置1 、 翻 砖

的逻辑功能。 11、只具有 置0 和 置1 功能的触发器是D 触发器。 12、设计一个同步6进制计数器,需要 3 个触发器。 13、如图1—3所示,Q n+1=n Q 14、如图1—4所示:同步四位二进制计数器74LS161构成的是 十三 进制计数器。 15、施密特触发器 有两个稳定状态,有两个不同的触发电平,具有回差特性。多谐振荡器没有稳定状态,只有两个暂稳态,利用 电容的充电和放电 作用使电路能够产生自激振荡从而在输出端输出矩形脉冲。 J K J CP K Q CP CO LD CR Q 3 Q 2 Q 1 Q 0 0 0 0 1 CT T CT P CP & 1 1 74LS161 D 3 D 2 D 1 D 0 图1—3 图1—4 图1—5 16、如图1—5所示:由555定时器和电阻R 1、R 2及电容C 构成的电路是 多谐振荡器电路 。 17、A/D 转换是将 模拟信号转换为数字信号 的转换过程,通过 采样 、 保持 、 量化 、 编码 等四个步骤完成。 二、 将下列函数化简成最简与或式 (1)()C B BC BC A ABC A Y D C B A ++++=、、、 (用公式法化简) 解: Y=A+ABC+A BC +BC+B C =A(1+BC+BC )+C(B+B )=A+C (2)()C B A ABC C B A Y D C B A ++⊕=)(、、、 (用公式法化简) 解: Y=(A ⊕B)C+ABC+A B C = A BC+A B C+ABC+A B C =A C(B+B )+AC((B+B )=C

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷) 第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10 (3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101

二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术试卷及答案

一、单项选择题(每小题1分,共15分) 在下列每小题的四个备选答案中选出一个正确的答案,并将其字母标号填入题干的括号内。 1.一位十六进制数可以用多少位二进制数来表示( C ) A . 1 B . 2 C . 4 D . 16 2.以下电路中常用于总线应用的是( A ) 门 门 C. 漏极开路门 与非门 3.以下表达式中符合逻辑运算法则的是( D ) ·C =C 2 +1=10 C.0<1 +1=1 4.T 触发器的功能是( D ) A . 翻转、置“0” B. 保持、置“1” C. 置“1”、置“0” D. 翻转、保持 5. 存储8位二进制信息要多少个触发器(D ) .3 C 6.多谐振荡器可产生的波形是( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 7.一个16选一的数据选择器,其地址输入(选择控制输入)端的个 数是( C ) .2 C 8.引起组合逻辑电路中竟争与冒险的原因是( C ) A.逻辑关系错; B.干扰信号; C.电路延时; D.电源不稳定。 9.同步计数器和异步计数器比较,同步计数器的最显著优点是( A ) A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P 控制 10.N 个触发器可以构成能寄存多少位二进制数码的寄存器( B ) +1 11.若用J K 触发器来实现特性方程AB Q A Q n 1n +=+,则J K 端的方程应为 ( B ) =A B ,K =B A =A B ,K =B A =B A +,K =A B =B A ,K =A B 12.一个无符号10位数字输入的D A C ,其输出电平的级数是( C )

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

数字电子技术试题及答案05

试卷五 一、填空题(20分) 1.数字信号只有 和 两种取值。 2.十进制123的二进制数是 ;八进制数是 ;十六进制数是 。 3.设同或门的输入信号为A 和B ,输出函数为F 。 若令B=0,则F= 若令B=1,则F= 4.三态门的输出有 、 、 三种状态。 5.设JK 触发器的起始状态Q=1 若令J=1,K=0,则=+1n Q 。 若令J=1,K=1,则=-1n Q 。 6.BCD 七段翻译码器输入的是 位 码,输出有 个。 7.一个N 进制计数器也可以称为 分频器。 8.有一个6位D/A 转换器,设满度输出为6.3V ,输入数字量为110111,则输出模拟电压为 。 9.设ROM 容量为256字×8位,则它应设置地址线 条,输出线 条。 10.用256字×4位RAM ,扩展容量为1024字×8位RAM ,则需要 片。 二 、选择题(20分) 1. 离散的,不连续的信号,称为( ) A 、模拟信号 B 、数字信号 2. 组合逻辑电路通常由( )组合而成。 A 、门电路 B 、触发器 C 、计数器 3. 十六路数据选择器的地址输入(选择控制)端有( )个 A 、16 B 、2 C 、4 D 、8 4. 一位8421BCD 码译码器的数据输入线与译码输出线的组合是( ) A 、4:6 B 、1:10 C 、4:10 D 、2:4 5. 能实现脉冲延时的电路是( ) A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 6.8线—3线优先编码器的输入为70I I - ,当优先级别最高的7I 有效时,其 输出012Y Y Y 的值是( ) A 、111 B 、010 C 、000 D 、101 7.JK 触发器在CP 作用下,若状态必须发生翻转,则应使( ) A 、J=K=0 B 、J=K=1 C 、J=O ,K=1 8.有一个左移位寄存器,当预先置入1011后,其串行固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( ) A 、1011—0110—1100—1000—0000 B 、1011—0101—0010—0001—0000 9.有一位二进制数码需要暂时存放起来,应选用( )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术试题(含答案)

系:_____________ 专业:_______________ 班级:_________ 准考证号: 姓名:_____________ 期 末 考 试 试 题 (卷) 密 封 线 密 封 线 以 内 不 准 作 任 何 标 记 密 封 线

8、要使JK 触发器处于计数状态,则必须使( ) A.J=K=1 B.J=K=0 C.J=0,K=1 D.J=1,K=0 9、下列触发器中没有计数功能的是( ) A.RS 触发器 B.T 触发器 C.JK 触发器 D.T ˊ触发器 10、组合电路中的冒险,偏“0”冒险Y = ( ) 二、填空题:(请在答题纸答题)(每空2分,共30分) 1、逻辑函数的表示方法有___________、___________、___________、___________、___________五种形式。 2、组合电路中的冒险,偏“1”冒险Y = ___________,偏“0”冒险Y = ___________。 3、不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 4、一个4选1的数据选择器,应具有_____个地址输入端______个数据输入端。 5、时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 6、计数器按CP 脉冲的输入方式可分为___________和___________。 三、化简题(请在答题纸答题)(每小题5分,共10分) 1、用公式证明等式,()()AB AB A B A B +=++ 2、用卡诺图化简函数为最简单的与或式(画图)。 (0,1,3,4,5,7,)Y m =∑ 四、根据已知条件,画出输出波形(请在答题纸答题)(每题10分,共20分) 1、已知逻辑门与输入波形,作出Y 的波形 Y A

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础 试题库及答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方程 , 主从JK 触发器的特性方程 ,D 触发器的特性方程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同

时加到所有触发器上 C、异步计数器不需要计数脉冲的控制8、下列说法是正确的是() A、施密特触发器的回差电压ΔU=U T+-U T- B、施密特触发器的回差电压越大,电 路的抗干扰能力越弱 C、施密特触发器的回差电压越小,电路的抗干扰能力越强 9、下列说法正确的是() A、多谐振荡器有两个稳态 B、多谐振荡器有一个稳态和一个暂稳态 C、多谐振荡器有两个暂稳态 10、下列说法正确的是() A、555定时器在工作时清零端应接高电平 B、555定时器在工作时清零端应接低电平 C、555定时器没有清零端 三、判断题(每题1分,共10分) 1、A+AB=A+B () 2、当输入9个信号时,需要3位的二进制代码输出。() 3、单稳态触发器它有一个稳态和一个暂稳态。() 4、施密特触发器有两个稳态。() 5、多谐振荡器有两个稳态。() 6、D/A转换器是将模拟量转换成数字量。() 7、A/D转换器是将数字量转换成模拟量。() 8、主从JK触发器在CP=1期间,存在一次性变化。() 9、主从RS触发器在CP=1期间,R、S之间不存在约束。() 10、所有的触发器都存在空翻现象。() 四、化简逻辑函数(每题5分,共10分) 1、 2、Y(A,B,C,)=∑m(0,1,2,3,4,6,8,9,10,11,14) 五、画波形图(每题5分,共10分) 1、 2、 六、设计题(每题10分,共20分)

数字电路试卷-答案

C. 000 D. 1 0 1 A. A+B B. A+C C. (A+B ) (A+C ) D. B+C 标准答案及评分标准 适用专业(班级): 是否可携带(填写计算器、词典等):计算器 学科部主任: 一 ?选择题(每小题2分,共20分) 1?一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【C 】 A. 4:6 B.1:10 C.4:10 D.2:4 2 ?若输入变量 A 、B 全为1时,输出F=1,则其输入与输出的关系是 【B 】 A.异或 B. 同或 C. 或非 D. 与或 3?在下列逻辑电路中,不是组合逻辑电路的是 【D 】 A.译码器 B. 加法器 C. 编码器 D. 寄存器 6 ?同步计数器和异步计数器比较,同步计数器的最显著优点是 A 工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7?—位8421BCD 码译码器的数据输入线与译码输出线的组合是 A. 4:6 B.1:10 C.4:10 D.2:4 8 ?组合逻辑电路通常由【 】组合而成。 A.触发器 B.门电路 C.计数器 D.锁存器 A. 1 11 B. 010 10.逻辑表达式 A+BC= 课程名称:数字电路 课程归属:理工学科部 开卷、闭卷:闭卷 出卷人: 4 . 一个8选一的数据选择器,其地址输入 (选择控制输入)端的个数是 A. 4 B. 2 C. 3 5?最小项ABCD 的逻辑相邻最小项是 D. 1 6 【A 】 A. ABCD B . ABCD C. ABCD D. ABCD 9.8线一3线优先编码器的输入为 I0 —17,当优先级别最高的 I7有效时,其输出 的值是 【C 】

数字电子技术基础习题及答案

数字电子技术基础考题 」、填空题:(每空3分,共15分) 辑表达式 )和( 卡诺图 路,称为全加器。 等° 17. 根据不同需要,在集成计数器芯片的基础上,通过采用 进位输出置最小数法 等方法可以实现任意进制的技术器。 18. 4. 一个JK 触发器有_2_个稳态,它可存储_J — 位二进制数。 19. 若将一个正弦波电压信号转换成 同一频率的矩形波,应采用 多谐振荡器 _______ 电路。 20. __________________________________________ 把JK 触发器改成T 触发器的方法是J=k=t __________________________________________________ 。 21. N 个触发器组成的计数器最多可以组成 _^n 进制的计数 器。 1逻辑函数有四种表示方法,它们分别是( 真值表 )、( 逻辑图 2. 将2004个“ 1 ”异或起来得到的结果是( 3. 由555定时器构成的三种电路中, )和( 是脉冲的整形电路。 4. TTL 器件输入脚悬空相当于输入( 电平。 5. 基本逻辑运算有:(and not )和(or )运算。 6. 采用四位比较器对两个四位数比较时, 先比较 最咼 位。 7. 触发器按动作特点可分为基本型、 (同步型 主从型 )和边沿型; 如果要把一宽脉冲变换为窄脉冲应采用 积分型单稳态 触发器 9. 目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是 TTL )电路和 CMOS )电路。 10. 施密特触发器有( 2 )个稳定状态?,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为 功能扩展电路、功能综合电路 两种; 12?两二进制数相加时,不考虑低位的进位信号是 加器。 13?不仅考虑两个 本位 .相加,而且还考虑来自 低位进位 _______ 相加的运算电 14.时序逻辑电路的输出不仅和 该时刻输入变量的取值 有关,而且还与_电路原来 的状态 有关。 15?计数器按CP 脉冲的输入方式可分为 同步计数器和 异步计数器。 16?触发器根据逻辑功能的不同,可分为 rs jk 反馈归零法 置数法

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

相关文档
相关文档 最新文档