文档库 最新最全的文档下载
当前位置:文档库 › 数字滤波器的DSP实现

数字滤波器的DSP实现

数字滤波器的DSP实现
数字滤波器的DSP实现

摘要

当前我们正处于数字化时代,数字信号处理技术受到了人们的广泛关注,其理论及算法随着计算机技术和微电子技术的发展得到了飞速的发展,被广泛应用于语音图象处理、数字通信、谱分析、模式识别、自动控制等领域。数字滤波器是数字信号处理中最重要的组成部分之一,几乎出现在所有的数字信号处理系统中。数字滤波器是指完成信号滤波处理的功能,用有限精度算法实现的离散时间线性非时变系统,其输入是一组(由模拟信号取样和量化的)数字量,其输出是经过变换的另一组数字量。相对于模拟滤波器,数字滤波器没有漂移,能够处理低频信号,频率响应特性可做成非常接近于理想的特性,且精度可以达到很高,容易集成等,这些优势决定了数字滤波器的应用越来越广泛。同时DSP(数字信号处理器)的出现和FPGA的迅速发展也促进了数字滤波器的发展,并为数字滤波器的硬件实现提供了更多的选择。

本论文的主要研究了数字滤波器的基本理论及其算法。基于TI公司的数字信号处理器TMS320VC5509设计了一款稳定度高,低功耗的数字滤波器系统,并完成了软硬调试工作。主要工作如下:

(1)研究了数字滤波器的基本理论,以及数字滤波器的实现方法。通过学习识字滤波器

的结构、数字滤波器的设计理论,掌握了各种数字滤波器的原理和特性。为实现数字滤波器奠定了理论基础。

(2)研究分析了如何利用MATLAB仿真软件来设计出符合各种要求的数字滤波器。并采用

了相关的函数设计了几款常用的数字滤波器,并得到了滤波器的相关系数,为利用DSP实现数字滤波做好了一些前期的工作。

(3)根据TI公司5000系列数字信号处理器的基本结构和特征,充分利用其片上资源t结

合MATLAB软件的仿真,用软件实现高性能稳定的数字滤波器。

关键字:数字滤波器,DSP,IIR(无限长单位脉冲响应),FIR(有限长单位脉冲响应)

Abstract

Nowadays we are in the digital time,the technology of digital signal process are got extensive attention by people..Accompany with the development of technology of computer and microelectronics.the theory and arithmetic of digital signal processdevelopment quickly,Digital filters are extemsively used in audio and video process,digital communications,frequency analyse,autocontrol and so on.Digital filter is one of the most important part of digital signal process,almost appeared in all digital signal process system.Digital filter is a discrete LIT system can accomplish the signal filter using finite precision arithmetic,with a group of digital signal input(which sampled and measure with analog signals)and another group of changed digital signal output.Digital filter is one of the important contents of digital signal process. Relative to analog filter,the digital filter without excursion,be able to process low frequency signal,the characteristic of frequency response close to ideal value,with high precision.and easy to integrated.These advantages de,de the application of digital filter become more and more extensively.While the developing of DSP (digital signal processor)and FPGA,provide more choice for digital filter.The mostly important task of this paper is researching the basic theories of digital filter,base on the TMS320VC5509 of TI company design digital filter system with high stability and low power consume,accomplish the hardware and software debug.main task as following:

(1)Reach the basic theory of digital filter and the method of realize of digital

filter,grasp the principle and characteristic of each digital filter.(2)Reach and analyse how to use the simulate software of MATLAB to design the

required digital filter.Use several function design some universal digital filter,get the coefficient of digital filter,prepare the prophase task of design a digital filter base onDSP.

(3)According to the basic structure and characteristic of spectrum 5000 digital

signal processor of TI,make the best of of the resource On chip,combined with simulate software MATLAB,realized a high performance and high stability digital filter

Key Words:Digital filter,DSP(Digital Signals Processor),IIR(Infinity Impulse Response),FIR(Finity Impulse Respons)///

目录

摘要................................................................... I ABSTRACT................................................................. II 第1章绪论. (1)

1.1数字滤波器的优点 (1)

1.2数字滤波器的发展动态 (1)

1.3数字滤波器的实现方法 (2)

1.4数字滤波器的设计过程 (2)

1.5论文研究内容 (3)

1.6本章小结 (3)

第2章数字滤波器理论研究 (4)

2.1数字滤波器概述 (4)

2.2数字滤波器的设计方法 (8)

2.3IIR数字滤波器结构 (8)

2.4 FIR数字滤波器结构 (11)

2.5IIR与FIR数字滤波器的比较 (12)

第3章数字滤波器的计算机辅助设计 (14)

3.1滤波器的表达方式 (14)

3.2 IIR滤波器的MATLAB辅助设计 (16)

3.3 FIR滤波器的MATLAB辅助设计 (19)

3.4 MATLAB软件数字滤波器仿真结果 (21)

第4章数字滤波器的DSP实现 (29)

4.1 DSP的基本特征 (29)

4.2 TMS320C55X DSP的硬件结构 (30)

4.3 DSP系统的设计与开发 (32)

4.4 FIR滤波器的DSP实现 (34)

4.5 IIR滤波器的DSP实现 (39)

第5章结束语 (43)

5.1全文总结 (43)

5.2心得体会 (43)

5.3工作展望 (43)

参考文献: (45)

专业外文翻译 (47)

致谢 (75)

第1章绪论

1.1数字滤波器的优点

滤波器是指用来对输入信号进行滤波的硬件或软件。如果滤波器的输入、输出都是离散时间信号,则该滤波器的冲激响应也必然离散,这样的滤波器定义为数字滤波器。数字滤波器在数字信号处理的各种应用中发挥着十分重要的作用,它是通过对采样数据信号进行数学运算处理来达到频域滤波的目的。数字滤波器是提取有用信息非常重要、非常灵活的方法,是现代信号处理的重要内容。因而在数字通信、语音图象处理、谱分析、模式识别、自动控制等领域得到了广泛的应用。相对于模拟滤波器,数字滤波器没有漂移,能够处理低频信号,频率响应特性可做成非常接近于理想的特性,且精度可以达到很高,容易集成等,这些优势决定了数字滤波器的应用将会越来越广泛。同时

DSP(Digital SignalProcessor)处理器的出现和FPGA(Field Programmable Gate Array)的迅速发展也促进了数字滤波器的发展,并为数字滤波器的硬件实现提供了更多的选择。相对于模拟滤波器数字滤波器具有以下显著的优点:

精度高:模拟电路中元件的精度很难达到10。以上,而数字系统17位字长就可以达

到105精度。因此在一些精度要求很高的滤波系统中,就必须采用数字滤波器来实现。

灵活性大:数字滤波器的性能主要取决于乘法器的各系数,而这些系数是存放在系

统存储器中的,只要改变存储器存放的系数,就可以得到不同的系统,这些都比改变模拟滤波器系统的特性要容易和方便的多,因而具有很大的灵活性。

可靠性高:因为数字系统只有两个电平信号“0”和“1”,受噪声及环境条件的影

响小,而模拟滤波器各个参数都有一定的温度系数,易受温度、振动、电磁感应等影响。并且数字滤波器多采用大规模集成电路,大规模集成电路的故障率远比众多分立元件构成的模拟系统的故障率低。

易于大规模集成:数字部件具有高度的规范性,便于大规模集成,大规模生产,且

数字滤波器电路主要工作在截止或饱和状态,对电路参数要求不严格,因此产品的成品率高,价格也日趋降低。相对于模拟滤波器,数字滤波器在体积、重量和性能方面的优势已越来越明显。

并行处理:数字滤波器的另外一个最大的优点就是可以实现并行处理,比如数字滤波器可以采用DSP处理器来实现并行处理。TI公司TMS320C5000系列的DSP芯片采用8条指令并行处理的结构,时钟频率为100MHZ的DSP芯片。可高达800M口S(每秒执行百万条指令)。

1.2数字滤波器的发展动态

近些年,线性滤波方法,如Wiener滤波、Kalman滤波和自适应滤波得到了广泛的研究和应用,同时一些非线性滤波方法,如小波滤波、同态滤波、中值滤波、形态滤波等都是现代信号处理的前言课题,不但有重要的理论意义,而且有广阔的应用前景。Wiener 滤波是最早提出的一种滤波方法,当信号混有白噪声时,可以在最小均方误差条件下得到信号的最佳估计。但是,由于求解Wiener-Hoff方程的复杂性,使得Wiener滤波实际

应用起来很困难,不过Wiener滤波在理论上的意义是非常重要的,利用Wiener滤波的纯一步预测,可以求解信号的模型参数,进而获得著名的Lcvinson算法。Kalman滤波是20

世纪60年代初提出的一种滤波方法。与Wiener滤波相似,它同样可以在最小均方误差条件下给出信号的最佳估计。所不同的是,这种滤波技术在时域中采用递推方式进行,因此速度快,便于实时处理,从而得到了广泛的应用。Kalman滤波推广到二维,可以用于图象的去噪。当假设Wiener滤波器的单位脉冲响应为有限长时,可以采用自适应滤波的方法得到滤波器的最佳响应。由于它避开了求解Wiener-Hoff方程,为某些问题的解决带来了极大的方便。小波滤波就是利用信号和噪声的目的。同态滤波主要用于解决信号和噪声之间不是相加而是相乘

关系时滤波问题。另外,当信号和噪声之间为卷积关系的时候,在一定条件下可以利用同态滤波把信号有效地分离开来由同态滤波理论引申出的复时谱也成为现代信号

处理中极为重要的概念。Wiener滤波、Kalman滤波和自适应滤波都是线性滤波,线性滤波的最大缺点就是在消除噪声的同时,会造成信号边缘的模糊。中值滤波是20世纪70年代提出的一种非线性滤波方法,它可以在最小绝对误差条件下,给出信号的最佳估计。这种滤波方法的优点,就是能够保持信号的边缘不模糊。另外它对脉冲噪声也有良好的清除作用。形态滤波是建立在集合运算上的一种非线性滤波方法,它除了用于滤除信号中的噪声外,还在图象分析中发挥了重要的作用

1.3数字滤波器的实现方法

数字滤波器的实现方法一般有以下几种:

在通用的计算机(如PC)上用软件(如C语言)实现。软件可以是由自己编写,也可以使用现成的软件包。这种方法的缺点是速度太慢,不能用于实时系统,主要用于DSP算法的模拟与仿真。

在通用的计算机系统中加上专用的加速处理机实现。这种方法不便于系统的独立运行。

用通用的单片机实现。单片机的接口性能良好容易实现人机接口。由于单片机采用的是冯诺依曼总线结构,系统比较复杂,实现乘法运算速度较慢,而在数字滤波器中涉及大量的乘法运算,因此,这种方法适用于一些不太复杂的数字信号处理。

用通用的可编程DSP芯片实现。与单片机相比,DSP有着更适合于数字滤波的特点。它利用改进的哈佛总线结构,内部有硬件乘法器、累加器,使用流水线结构,具有良好的并行特点,并有专门设计的适用于数字信号处理的指令系统等。

用专用的DSP芯片实现。在一些特殊的场合,要求的信号处理速度极高,而通用DSP 芯片很难实现,这种芯片将相应的信号处理算法在芯片内部用硬件实现,无须进行编程。

用FPGA等可编程器件来开发数字滤波算法。使用相关开发工具和VHDL等硬件开发语言,通过软件编程用硬件实现特定的数字滤波算法。这一方法由于具有通用性的特点并可以实现算法的并行运算,无论是作为独立的数字信号处理,还是作为DSP芯片的协作处理器都是比较活跃的研究领域。

通过比较这些方法可见:可以采用MATLAB等软件来学习数字滤波器的基本知识,计算数字滤波器的系数,研究算法的可行性,对数字滤波器进行前期的仿真。可以采用DSP 或FPGA来实现硬件电路。本论文研究的重点集中在利用DSP来实现数字滤波的硬件电路。

1.4数字滤波器的设计过程

数字滤波器设计过程就是从给定的技术指标开始到以滤波器产品原型的实现而结

束的一系列过程,该过程包括四个一般步骤:函数逼近、电路实现、缺陷研究、产品实现。

函数逼近:就是产生满足理想技术指标的转移函数即建立一个目标的数字滤波器模型。通常,首先采用理想的数字滤波器模型,然后利用数字滤波器的设计方法,设计出一个实际滤波器模型来逼近给定的目标。

电路实现:是把滤波器的转移函数转换为方框图(也叫做数字滤波器网络)或转换为一组对输入数字序列进行顺序计算的方程。

缺陷研究:研究各种非理想因素的影响,如存储采样值和系数的有限字长,或在不违反滤波器技术指标的条件下所允许的最大量化步长。

产品实现:用硬件(DSP处理器、专用硬件、常用VLSI芯片)或者在普通计算机、专用计算机或阵列处理器上运行的软件构建滤波器的原型,需要进行的判断如器件的类型和制造方法、数据字长,系数字长等。

通常上述四个步骤互相并不独立,但是一般分别进行,其主要目的是在最短时间内找出最经济的方案。

而基于DSP的数字滤波器的设计过程如下:

(1)根据指标确定滤波器的类型,设计出滤波器的函数逼近;(2)根据DSP的特点(字长,精度等)对参数进行取舍、量化,然后进行仿真;(3)根据仿真结果对滤波器的结构、参数再次进行整,直到满足以指标要求为止:(4)在DSP上用语言实现滤波器功能。在上述要求的前提下,找到尽可能简易的实现方法.

定点DSP芯片,既可以做定点运算,也可以做浮点运算。一个算法,既可以用汇编语言编程实现,也可以用高级语言(C,c++)实现。而一个数字滤波器是否可以在DSP上实现,最终要看此算法是否满足两个条件:执行时间和精度。一个算法的精度再高,如果不能做到实时,也没有实用价值:相反,如果执行时间很快,但精度满足不了要求,也就无从实现滤波功能。数字滤波器在DSP上的实现思路,应该是在满足上面两个条件的前提下,找到尽可能简易的实现方法。

1.5论文研究内容

本论文主要:①研究数字滤波的理论知识,为系统整体设计奠定了理论基础:②研究了MATLAB软件在数字信号处理,尤其是数字滤波器处理中的应用,仿真出了几种数字滤波器的基本模;③研究TI公司TMS320VC5509数字信号处理器的内部结构及片上资源,设计一个价格低、功耗小、精度高的数字滤波器系统。④研究有限长冲激响应数字滤波器和无限长冲激响应数字滤波器在DSP中的具体实现方法。编写一套可行的高效的数字滤波器程序⑤研究TI公司DSP系统开发工具的应用,调试系统的硬件平台和程序。

1.6本章小结

本章首先分析了数字滤波器在工业应用中的优点以及数字滤波的研究现状,然后着重对数字滤波器滤波算法的实现方法和实现过程,最后介绍了本论文研究的内容。

第2章数字滤波器理论研究

2.1数字滤波器概述

数字滤波器是完成信号滤波处理功能的,用有限进度算法实现的离散时间线性非时变系统,其输入是一组数字量,其输出是经过变换的另一组数字量。因此,数字滤波本身既可以是用数字硬件装配成一台完成给定运算的专用的数字计算机,也可以将所需要的运算编程为程序,让通用计算机来执行。信号中一般都包含噪声或者说其中有很多能量在感兴趣的最高频率之外,因此我们要用滤波电路将不感兴趣的带宽之外的信号和噪声移去。数字滤波器是数字信号处理中使用最广泛的一种线性系统环节,图2—1给出了一个具有模拟输入信号和输出信号的实时数据滤波器的简化框图。这个模拟信号被周期地抽样,且转化成一系列数字x(n)(n=0,1…)。数字滤波器依据滤波器的计算算法,执行滤波算法运算、把输入系列x(n)映射到输出系列y(n)。DAC把数字滤波后的输出转化成模拟值,这些模拟值接着被模拟滤波器平滑,并且消去不需要的高频分量

图2-1实时数字滤波器简化框图

在信号处理中,为了防止采样过程中的混叠现象,必须在A/D转换之前使用低通滤波器,把1/2采样频率以上的信号衰减掉。如图2—2所示,在~D转换前,加入一个低通滤波器,这样,经过A/D转换之后,有效地避免了混叠现象的发生,从而保证了后续数字处理的正常迸行

图2-2抗混叠滤波器的作用

在实时数字系统中,要根据实断怙况设定不同的分析频率,即信号分析频率可由用户设定,那么抗混卺滤波的截Jf :频率也要发生变化:L-E /2。可以想象,信号分析系统需要在实时系统需要多少挡分析频率,就需要多少只抗混叠滤波器,这样导致模拟电路的设计十分繁琐,而且要保证每个滤波器参数一致,高精度、线性等困难

随着DSP 技术广泛应用,现在逐步采用的技术是:在经过A /D 转换之后,采用数字滤波技术满足不同分析频率下信号分析的需要。相应地,A /D 转换保持最高转换频率不变,只需要一个模拟抗混叠滤波器,而通过调节数字滤波器参数改变数字滤波器的输出,相当于改变不同的A /D 转换频率。如图2--3所示,A /D 转换前端时域参数固定的模拟抗混叠滤波器,在A /D 转换后使用参数可调的数字滤波器。这样设计筋化了模拟抗混叠滤波器的设计,提高了系统的稳定性和抗干扰性能。

参数固定的模拟 参数可调的

抗混叠滤波器 A_D 转换器 数字滤波器

图2-3抗混叠数字滤波器的作用

数字滤波器具有稳定性高、精度高、灵活性大等突出的优点。随着数字技术的发展,用数字技术实现滤波器的功能越来越受到人们的注意和广泛的应用。从数字滤波器的单位冲击响应来看,可咀分为两大类:有限冲击响应(FIR)数字滤波器和无限冲击响应(IIR)数字滤波器。滤波器按功能上分可以分为:低通滤波器(LPF)、高通滤波器(HPF)、带通滤波器(BPF)、带阻滤波器(BSF)。

2.1.1数字滤波器的数学模型

经典数字滤波器是一个线性非时变系统,其数学模型可用Z 域系统函数H (z )表示为

sos =[b 0k b 1k b 2k ???b 0L b 1L b 2L a 0k a 1k a 2k ???a 0L a 1L a 3L

] (2-1) H (z )=Y (z )

X (z )=b 0+b 1z ?1+b 2z ?2+?+b N z ?N

1+a 1z +a 2z +?+a N z =∑b z z

?r n r=01+∑a k z M k=0 (2-2)

模型参数为a ,b ,N ,M 。a =[a 1,a 2,?a N+1],b =[b 1,b 2,?b N+1],式中a 0通常为1.输出列y (n )和输入列x (n )之间的方差表示为

y (n )=∑b r x (n ?r )?∑a k y (n ?k )M k=1N r=0 (2-3)

输入和输出之间尉时间域结构框图和z 域(离散域)结构框图入图2--4所示。

利用DSP实现数字滤波器

DSP技术及应用课程设计报告课设名称:利用DSP实现数字滤波器 学院:信息工程 专业:通信工程 班级:2012159 学号:201215925 姓名:高亮 辅导老师:李珺陈俊峰 时间:2015年12月29

目录 一.绪论 (1) 1.1设计背景 (1) 1.2设计要求 (1) 1.3设计思路简介 (1) 二.系统开发平台与环境 (1) 1.1CCS开发环境 (1) 三. FIR滤波器设计过程 (2) 3.1FIR滤波器基本理论 . (2) 3.2FIR滤波器的MATLAB实现 (4) 四FIR滤波器的DSP实现 (10) 五.CCS仿真图及结果 (12) 六.总结 (14) 七.参考文献 (15)

一. 绪论 1.1设计背景 在信号处理中,滤波占有十分重要的地位。数字滤波是数字信号处理的基本方法。数字滤波与模拟滤波相比有很多优点,它除了可避免模拟滤波器固有的电压漂移、温度漂移和噪声等问题外,还能满足滤波器对幅度和相位的严格要求。低通有限冲激响应滤波器(低通FIR滤波器)有其独特的优点,因为FIR系统只有零点,因此,系统总是稳定的,而且容易实现线性相位和允许实现多通道滤波器。 DSP(数字信号处理器)与一般的微处理器相比有很大的区别,它所特有的系统结构、指令集合、数据流程方式为解决复杂的数字信号处理问题提供了便利,本文选用TMS320C54X作为DSP处理芯片,通过对其编程来实现FIR滤波器。 对数字滤波器而言,从实现方法上,有FIR滤波器和无限冲激响应(IIR)滤波器之分。由于FIR滤波器只有零点,因此这一类系统不像IIR系统那样易取得比较好的通带与阻带衰减特性。但是FIR系统有自己突出的优点:①系统总是稳定的;②易实现线性相位;③允许设计多通带(阻带)滤波器。其中后两项是IIR系统不易实现的。 1.2设计要求 利用C语言在CCS环境中编写一个FIR滤波器程序,并能利用已设计好的滤波器对常用信号进行滤波处理。 1.3设计思路简介 在TMS320C54x系统开发环境CCS(Code Composer Studio)下对FIR 滤波器的DSP实现原理进行讨论。利用C语言设计相应的滤波器,通过实验仿真,从输入信号和输出信号的时域和频域曲线可看出在DSP上实现的FIR滤波器能完成预定的滤波任务。 二.系统开发平台与环境 1.1 CCS开发环境

IIR数字滤波器在TI DSP上的实现

IIR数字滤波器在TI DSP上的实现 数字滤波器是对数字信号进行滤波处理以得到期望的响应特性的离散时间系统。在众多通用数字信号处理器中,美国TI公司生产的TMS320系列单片DSP 在国际上占有较大市场,这种单片DSP把高速控制器的灵活性和阵列处理器的数值计算能力结合起来。 一、IIR数字滤波器结构原理 本文IIR数字滤波器的设计方法:借助于模拟滤波器的设计方法设计出模拟滤波器,利用冲激响应不变法或双线性变换法转换成数字滤波器,然后用硬件或软件实现。 从IIR数字滤波器的实现来看,有直接型、级联型、并联型等基本网络结构。其中直接Ⅱ型仅需要N级延迟单元,且可作为级联型和并联型结构中的基本单元。本文以二阶IIR滤波器的直接实现形式表示。其系统函数H(z)可以表示为: 在编程时,可以将变量和系数都存在DARAM中,采用循环缓冲区方式寻址,共需开辟4个缓冲区,用来存放变量和系数。 二阶IIR滤波器的直接IIR 型差分方程为: (3) 二、IIR数字滤波器在C54x上的设计与实现 1.IIR数字滤波器在TMS320VC5409 DSP上的实现流程 1.1根据指标确定滤波器的类型,设计出滤波器的参数; 1.2根据DSP的特点(字长、精度等)对参数进行取舍、量化,仿真; 1.3根据仿真结果对滤波器的结构、参数再次进行调整,直到满足要求为止; 1.4在DSP上用语言实现滤波器功能。 2.IIR数字滤波器在TMS320VC5409 DSP上的实现算法 从理论上说,可以用高阶IIR数字滤波器实现良好的滤波效果[2]。但由于DSP本身有限字长和精度的因素,加上IIR滤波器在结构上存在反馈回路,是递归型的,再者高阶滤波器参数的动态范围很大。这样一来造成两个后果:结果溢出和误差增大。解决此问题的有效方法是把高阶IIR数字滤波器简化成几个2阶

数字滤波器的MATLAB设计与DSP上的实现

数字滤波器的MAT LAB设计与 DSP上的实现 数字滤波器的MATLAB 设计与DSP上的实现 公文易文秘资源网佚名2007-11-15 11:56:42我要投稿添加到百度搜藏 摘要:以窗函数法设计线性相位FIR数字滤波器为例,介绍用MATLAB工具软件设计数字滤波器的方法和在定点DSP上的实现。实现时,先在CCS5000仿真开发,然后将程序加载到TMS320VC5409评估板上实时运行,结果实现了目标要求。文中还讨论了定标、误差、循环寻址等在DSP上实现的关键问题。关键词 摘要:以窗函数法设计线性相位 FIR数字滤波器为例,介绍用 MATLAB工具软件设计数字滤波器的方法和在定点DSP上的实现。实现时,先在 CCS5000仿真开发,然后将程序加载到 TMS320VC5 409评估板上实时运行,结果实现了目标要求。文中还讨论了定标、误差、循环寻址等在DSP上实 现的关键问题。 关键词:数字滤波器MATLAB DSP 引言 随着信息时代和数字世界的到来,数字信号处理已成为今一门极其重要的学科和技术领域。数字信号处理在通信、语音、图像、自动控制、雷达、军事、航空航天、医疗和家用电器等众多领域得到了广泛的应

用。在数字信号处理应用中,数字滤波器十分重要并已获得广泛应用。 1数字滤波器的设计 1.1数字滤波器设计的基本步骤 数字滤波器根据其冲激响应函数的时域特性,可分为两种,即无限长冲激响应(IIR )滤波器和有限长冲激响应(FIR )滤波器。IIR滤波器的特征是,具有无限持续时间冲激响应。种滤波器一般需要用递归模型来实现,因而有时也称之为递归滤波器。FIR滤波器的冲激响应只能延续一定时间, 在工程实际中可以采用递归的方式实现,也可以采用非递归的方式实现。数字滤波器的设计方法有多种,如双线性变换法、窗函数设计法、插值逼近法和Chebyshev逼近法等等。随着 MATLAB软件尤 其是MATLAB的信号处理工作箱的不断完善,不仅数字滤波器的计算机辅助设计有了可能,而且还可以使设计达到最优化。 数字滤波器设计的基本步骤如下: (1确定指标 在设计一个滤波器之前,必须首先根据工程实际的需要确定滤波器的技术指标。在很多实际应用中,数字滤波器常常被用来实现选频操作。因此,指标的形式一般在频域中给岀幅度和相位响应。幅度指标主要以两种方式给岀。第一种是绝对指标。它提供对幅度响应函数的要求,一般应用于FI R滤波器的设计。第二种指标是相对指标。它以分贝值的形式给岀要求。在工程实际中,这种指标最受欢迎。对于相位响应指标形式,通常希望系统在通频带中人有线性相位。运用线性相位响应指标进行滤波器设计具有如下优点:①只包含实数算法,不涉及复数运算;②不存在延迟失真,只有固定数量的延迟;③长度为N的滤波器(阶数为N-1),计算量为N/2数量级。因此,本文中滤波器的设计就以线性相位FIR滤波器的设计为例。 (2)逼近

数字滤波器的DSP实现

摘要 当前我们正处于数字化时代,数字信号处理技术受到了人们的广泛关注,其理论及算法随着计算机技术和微电子技术的发展得到了飞速的发展,被广泛应用于语音图象处理、数字通信、谱分析、模式识别、自动控制等领域。数字滤波器是数字信号处理中最重要的组成部分之一,几乎出现在所有的数字信号处理系统中。数字滤波器是指完成信号滤波处理的功能,用有限精度算法实现的离散时间线性非时变系统,其输入是一组(由模拟信号取样和量化的)数字量,其输出是经过变换的另一组数字量。相对于模拟滤波器,数字滤波器没有漂移,能够处理低频信号,频率响应特性可做成非常接近于理想的特性,且精度可以达到很高,容易集成等,这些优势决定了数字滤波器的应用越来越广泛。同时DSP(数字信号处理器)的出现和FPGA的迅速发展也促进了数字滤波器的发展,并为数字滤波器的硬件实现提供了更多的选择。 本论文的主要研究了数字滤波器的基本理论及其算法。基于TI公司的数字信号处理器TMS320VC5509设计了一款稳定度高,低功耗的数字滤波器系统,并完成了软硬调试工作。主要工作如下: (1)研究了数字滤波器的基本理论,以及数字滤波器的实现方法。通过学习识字滤波器 的结构、数字滤波器的设计理论,掌握了各种数字滤波器的原理和特性。为实现数字滤波器奠定了理论基础。 (2)研究分析了如何利用MATLAB仿真软件来设计出符合各种要求的数字滤波器。并采用 了相关的函数设计了几款常用的数字滤波器,并得到了滤波器的相关系数,为利用DSP实现数字滤波做好了一些前期的工作。 (3)根据TI公司5000系列数字信号处理器的基本结构和特征,充分利用其片上资源t结 合MATLAB软件的仿真,用软件实现高性能稳定的数字滤波器。 关键字:数字滤波器,DSP,IIR(无限长单位脉冲响应),FIR(有限长单位脉冲响应)

IIR数字滤波器的DSP实现

湖南科技大学 信息与电气工程学院 《课程设计报告》 题目:IIR数字滤波器的DSP实现 专业:电子信息工程 班级:电子二班 姓名:高二奎 学号: 1104030205 指导教师:尹艳群 2015年 1月 8 日

信息与电气工程学院 课程设计任务书 2014—2015学年第一学期 专业:电子信息工程班级:电子二班学号: 1104030205姓名:高二奎 课程设计名称: DSP原理及应用 设计题目: IIR数字滤波器的DSP实现 完成期限:自 2015 年 1 月 1 日至 2015 年 1 月 8 日共 1 周 设计依据、要求及主要内容(可另加附页): 1、设计目的:通过课程设计,使学生综合运用DSP技术课程和其他有关先修课程的理论和生产实际知识去分析和解决具体问题的能力得到提高,并使其所学知识得到进一步巩固、深化和发展。通过课程设计初步培养学生对工程设计的独立工作能力,学习设计的一般方法。通过课程设计树立正确的设计思想,提高学生分析问题、解决问题的能力。通过课程设计训练学生的设计基本技能,如计算、绘图、查阅设计资料和手册,熟悉标准与规范等。 2、要求: 1.熟悉DSP处理器及其结构性能,掌握DSP芯片配套开发工具的使用方法。2.按要求设计出硬件电路。 3.画出硬件连接原理图,并对硬件工作原理进行说明。 4.给出软件流程图及编写程序,每一条指令的后面附上相应的注释。 5.进行软、硬件调试,检查是否达到相关的功能。 6.写出调试方法。 7.设计报告结尾附上心得体会。 3、主要内容:熟悉5410DSP的MCBSP的使用,了解AD50的结构,掌握AD50各寄存器的意义及其设置,掌握AD50与DSP的接口,AD50的通讯格式及AD50的DA实验。 指导教师(签字): 批准日期:年月日

基于DSP的数字滤波器的设计与仿真

2.1系统功能介绍 一个实际的应用系统中,总存在各种干扰。数字滤波器在语音信号处理、信号频谱估计、信号去噪、无线通信中的数字变频以及图像信号等各种信号处理中都有广泛的应用,数字滤波器也是使用最为广泛的信号处理算法之一。 在本设计中,使用MATLAB模拟产生合成信号,然后利用CCS进行滤波。设定模拟信号的采样频率为48000Hz,。设计一个FIR低通滤波器,其参数为:滤波器名称:FIR低通滤波器 采样频率:Fs=48000Hz 通带截止频率:15000Hz 阻带截止频率:16000Hz 通带最大衰减:0.1dB 阻带最少衰减:80dB 滤波器系数:由MATLAB根据前述参数求得。 2.2 总体设计方案流程图 图1 总体设计方案

主要内容和步骤 3.1 滤波器原理 对于一个FIR 滤波器系统,它的冲击响应总是又限长的,其系统函数可记为: ()()10 N n n H z h n z --==∑ 其中1N -是FIR 的滤波器的阶数,n z -为延时结,()h n 为端口信号函数。 最基本的FIR 滤波器可用下式表示: ()()()10 N k y n h k x n k -==-∑ 其中()x n k -输入采样序列,()h k 是滤波器系数,N 是滤波器的阶数()Y n 表示滤波器的输出序列,也可以用卷积来表示输出序列()y n 与()x n 、()h n 的关系,如下: ()()()y n x n h n =* 3.2 操作步骤 (1)打开FDATOOL ,根据滤波要求设置滤波器类型、通带截止频率、指定阶数、采样频率等。指定完设计参数后单击按钮Design Filter ,生成滤波器系数。 (2)把生成的滤波器系数传到目标DSP 。选择菜单Targets->Export to Code Composer Studio(tm)IDE ,打开Export to C Header File 对话框,选择C header file ,指定变量名(滤波器阶数和系数向量),输出数据类型可选浮点型或32 b ,16 b 整型等,根据自己安装选择目标板板号和处理器号,单击OK ,保存该头文件,需指定文件名(filtercoeff .h)和路径(保存在c :\ti\myprojects\fir 工程中)。 (3)修改CCS 汇编程序,删掉数据前的所有文字,在开头加上.data ,第二行加coeff .word ,在每行的前面加上.word ,比且把每行的最后的逗号去掉。 (4)编译汇编程序,如果有错误,按错误进行修改;没错误,则往下执行。 (5)加载初始化DATA 数据。运行程序,查看输入输出波形,修改相应参数进行调试

基于DSP的数字滤波器的设计

- - -.. 目录 摘要错误!未定义书签。 第1章课程设计的目的和要求0 第2章系统功能介绍及总体设计方案0 第3章主要内容和步骤1 3.1滤波器原理1 3.2操作步骤2 第4章详细设计2 第5章实验过程3 5.1汇编语言实验步骤与内容3 5.2实验过程中出现的错误及解决的办法6 5.3CCS程序运行后的各种输出结果6 第6章结论与体会8 参考文献9 附件:源程序清单10 DSP实现FIR滤波的关键技术10 汇编程序清单12 第1章课程设计的目的和要求 通过课程设计,加深对DSP芯片TMS320C54x的结构、工作原理的理解,获得DSP应用技术的实际训练,掌握设计较复杂DSP系统的基本方法。通过使用汇编语言编写具有完整功能的图形处理程序或信息系统,使学生加深对所学知识的理解,进一步巩固汇编语言讲法规则。学会编制结构清晰、风格良好、数据结构适当的汇编语言程序,从而具备解决综合性实际问题的能力。 第2章系统功能介绍及总体设计方案 2.1系统功能介绍 一个实际的应用系统中,总存在各种干扰。数字滤波器在语音信号处理、信号频谱估计、信号去噪、无线通信中的数字变频以及图像信号等各种信号处理中都有

广泛的应用,数字滤波器也是使用最为广泛的信号处理算法之一。 在本设计中,使用MATLAB 模拟产生合成信号,然后利用CCS 进行滤波。设定模拟信号的采样频率为400000Hz ,。设计一个FIR 低通滤波器,其参数为: 滤波器名称: FIR 低通滤波器 采样频率: Fs=40000Hz 通带/阻带截止频率: 4000Hz ~4500Hz 通带最大衰减: 0.5dB 阻带最少衰减:50dB 滤波器级数: N=154 滤波器系数: 由MATLAB 根据前述参数求得。 2.2 总体设计方案流程图 图1 总体设计方案 第3章 主要内容和步骤 3.1 滤波器原理 对于一个FIR 滤波器系统,它的冲击响应总是又限长的,其系统函数可记为: ()()1 0N n n H z h n z --==∑ 其中1N -是FIR 的滤波器的阶数,n z -为延时结,()h n 为端口信号函数。

利用DSP实现数字滤波器

目录 一.绪论 (1) 1.1设计背景 (1) 1.2设计要求 (1) 1.3设计思路简介 (1) 二.系统开发平台与环境 (1) 1.1CCS开发环境 (1) 三. FIR滤波器设计过程 (2) 3.1FIR滤波器基本理论 . (2) 3.2FIR滤波器的MATLAB实现 (4) 四FIR滤波器的DSP实现 (10) 五.CCS仿真图及结果 (12) 六.总结 (14) 七.参考文献 (15)

一. 绪论 1.1设计背景 在信号处理中,滤波占有十分重要的地位。数字滤波是数字信号处理的基本方法。数字滤波与模拟滤波相比有很多优点,它除了可避免模拟滤波器固有的电压漂移、温度漂移和噪声等问题外,还能满足滤波器对幅度和相位的严格要求。低通有限冲激响应滤波器(低通FIR滤波器)有其独特的优点,因为FIR系统只有零点,因此,系统总是稳定的,而且容易实现线性相位和允许实现多通道滤波器。 DSP(数字信号处理器)与一般的微处理器相比有很大的区别,它所特有的系统结构、指令集合、数据流程方式为解决复杂的数字信号处理问题提供了便利,本文选用TMS320C54X作为DSP处理芯片,通过对其编程来实现FIR滤波器。 对数字滤波器而言,从实现方法上,有FIR滤波器和无限冲激响应(IIR)滤波器之分。由于FIR滤波器只有零点,因此这一类系统不像IIR系统那样易取得比较好的通带与阻带衰减特性。但是FIR系统有自己突出的优点:①系统总是稳定的;②易实现线性相位;③允许设计多通带(阻带)滤波器。其中后两项是IIR系统不易实现的。 1.2设计要求 利用C语言在CCS环境中编写一个FIR滤波器程序,并能利用已设计好的滤波器对常用信号进行滤波处理。 1.3设计思路简介 在TMS320C54x系统开发环境CCS(Code Composer Studio)下对FIR 滤波器的DSP实现原理进行讨论。利用C语言设计相应的滤波器,通过实验仿真,从输入信号和输出信号的时域和频域曲线可看出在DSP上实现的FIR滤波器能完成预定的滤波任务。 二.系统开发平台与环境 1.1 CCS开发环境

基于DSP的FIR数字滤波器设计实验汇编语言

基于DSP 的FIR 数字滤波器 (设计实验) 一、实验目的 1.了解FIR (Finite Impulse Response 有限冲激响应)滤波器的原理及使用方法; 2.了解使用MATLAT 语言设计FIR 滤波器的方法; 3.了解DSP 对FIR 滤波器的设计及编程方法; 4.熟悉在CCS 环境下对FIR 滤波器的调试方法; 二、实验原理 数字滤波是DSP 的最基本应用,利用MAC (乘、累加)指令和循环寻址可以方便地完成滤波运算。两种常用的数字滤波器:FIR (有限冲激响应)滤波器和IIR (无限冲激响应)滤波器的DSP 实现。 设FIR 滤波器的系数为h(0),h(1), ...,h(N-1),X(n)表示滤波器在n 时刻的输入, 则n 时刻的输出为: FIR 数字滤波器的结构如图3.1所示。 图3.1 FIR 数字滤波器的结构图 1、线性缓冲区法 又称延迟线法。其方法是:对于n=N 的FIR 滤波器,在数据存储器中开辟一个N 单元的缓冲区,存放最新的N 个样本;滤波时从最老的样本开始,每读一个样本后,将此样本向下移位;读完最后一个样本后,输入最新样本至缓冲区的顶部。以上过程,可以用N=6的线性缓冲区示意图来说明,如图3-2所示 图3-2 N=6的线性缓冲区示意图 2、循环缓冲区法 图3-3说明了使用循环寻址实现FIR 滤波器的方法。对于N 级FIR 滤波器,在数据存储区开辟一个称为滑窗的具有N 个单元的缓冲区,滑窗中存放最新的N 个输入样本值。每次输入新的样本时,新的样本将改写滑窗中最老的数据,其他数据则不需要移动。 1 ()()()(0)()(1)(1)(1)[(1)]N i y n h i x n i h x n h x n h N x n N -==-=+-+---∑ Z -1Z -1Z -1h(0)h(1)h(2)h(N-2) h(N-1)y(n)

(完整版)基于DSP的FIR数字低通滤波器设计

电气控制技术应用设计 题目基于DSP的FIR数 字低通滤波器设计 二级学院电子信息与自动化学院 专业电气工程及其自动化 班级 113070404 学生姓名黄鸿资学号 11307991032 学生姓名姜天宇学号 11307991015 指导教师蒋东荣 时间:2016年8月29日至2016年9月9日 考核项目平时成绩20分设计35分报告15分答辩30分得分 总分考核等级教师签名

一绪论 (3) (一)课题设计的目的 (3) (二)课题内容 (3) (三)设计方法 (3) (四)课程设计的意义 (4) 二FIR滤波器基本理论 (4) (一)FIR滤波器的特点 (4) (二)FIR滤波器的基本结构 (4) (三)Chebyshev逼近法 (5) 三用MATLAB辅助DSP设计FIR滤波器 (5) (一)利用fir函数设计FIR滤波器并在在MATLAB环境仿真 (6) (二) Matlab中自带工具箱FDATool快速的实现滤波器的设计 (10) 1.确定一个低通滤波器指标 (10) 2.打开MATLAB的FDATool (10) 3.选择Design Filter (11) 4.滤波器分析 (11) 5.导出滤波器系数 (13) (三)滤波器设计总结 (13) (四)DSP所需文件配置 (14) 四基于DSP的FIR滤波器实现 (14) (一)DSP中滤波器的算法实现 (15) 1.线性缓冲区法 (15) 2.循环缓冲区法 (15) (二)C语言实现FIR (15) (三)CSS仿真调试 (17) (四)滤波器的仿真测试 (18) 五 DSP数字滤波器与硬件低通滤波器对比 (21) (一)二阶有源低通滤波电路的构建 (21) (二)二阶低通滤波器参数计算 (22) (三)在protues环境下的仿真测试 (22) (四)实物硬件连接以及测试结果 (22) (五)利用FilterPro的低通滤波器设计 (23) 1 选择filter类型 (24) 2 滤波器参数设定 (24) 3 滤波器的算法选择 (25) 4 滤波器的拓扑结构选择 (25) (六) DSP数字滤波器与硬件电路滤波器对比总结 (26) 六课程设计总结 (26) 参考文献 (28)

FIR滤波器及其DSP实现

FIR滤波器及其DSP实现 摘要 DSP技术一般指将DSP 处理器用于完成数字信号处理的方法与技术。目前的DSP芯片以其强大的数据处理功能在通信和其他信号处理领域得到广泛注意并已成为开发应用的热点技术。许多领域对于数字信号处理器的应用都是围绕美国德州仪器所开发的DSP处理器来进行的。DSP芯片是一种特别适合于进行数字信号处理运算的微处理器。主要应用是实时快速的实现各种数字信号处理算法,如卷积及各种变换等。 关键词:DSP;FIR滤波器 1 绪论 1.1引言 随着信息时代和数字世界的到来,数字信号处理已成为如今一门极其重要的学科和技术领域。数字信号处理在通信、语音、图像、自动控制、雷达、军事、航空航天、医疗和家用电器等众多领域得到了广泛的应用。在数字信号处理的应用中,数字滤波器很重要而且得到了广泛的应用。按照数字滤波器的特性,它可以被分为线性与非线性、因果与非因果、无限长冲击响应(IIR)与有限长冲击响应(FIR)等等。目前FIR滤波器的实现方法大致可分为三种:利用单片通用数字滤波器集成电路、DSP器件和可编程逻辑器件实现。单片通用数字滤波器使用方便,但由于字长和阶数的规格较少,不能完全满足实际需要,使用以串行运算为主导的通用DSP芯片实现要简单,是一种实时、快速、特别适合于实现各种数字信号处理运算的微处理器,借助于通用数字计算机按滤波器的设计算法编出程序进行数字滤波计算。由于它具有丰富的硬件资源、改进的哈佛结构、高速数据处理能力和强大的指令系统而在通信、航空、航天、雷达、工业控制、网络及家用电器等各个领域得到广泛应用。 1.2课题背景 数字信号处理就是用数字信号处理器(DSP)来实现各种算法,由于具有精度高、灵活性强等优点,已广泛应用在数字图像处理、数字通信、数字音响、声纳、雷达等领域。数字滤波技术又是进行数字信号处理的最基本手段之一,它是对数字输人信号进行运算,产数字输出信号,以改善信号品质,提取有用信息,或者

数字滤波器在DSP28335中的使用

数字滤波是数字信号处理中的重要环节。为了用户更好的使用DSP进行数字信号处理,Ti 公司提供了数字滤波器程序模块和相应的matlab滤波器设计函数以加速我们的DSP程序设计。但是,很不幸的是,该模块附带的说明文档过于简单,程序接口做得不是很好,不利于大家模块化编程。因此,我写了一下文档,并自己写了一段更方便使用的代码,希望能给大家帮助。 一. M atlab滤波器设计函数的使用 首先介绍两种数字滤波器FIR滤波器和IIR滤波器 fir滤波器中文全称是有限冲激响应滤波器。该滤波器是对理想滤波器的高度近似,滤波器的通带增益恒定,阻带增益几乎为零,相位特性好。 iir滤波器中文全称是无限冲激响应滤波器。该滤波器是实际模拟滤波器的数字实现,通常我们定义在该滤波器的通带有‐3db的增益衰减,并且其相位特性不如fir滤波器。最常见的iir滤波器有巴特沃思滤波器,切比雪夫滤波器,椭圆滤波器。 在计算量大致相同时,iir滤波器对阻带频率的衰减能力要远高于fir滤波器。对于电力电子应用来说,数字滤波的目的通常是滤除信号中的部分频率或让信号中的特定频率通过,因此对滤波器的通带增益没有过多要求。所以选用iir滤波器是合适的。而在iir滤波器中,又以巴特沃思滤波器最为合适。 TI公司提供了两个基于matlab的IIR数字滤波器设计函数eziir16.m和eziir32.m。eziir16.m 对应dsp程序内部运算为16位,运算速度较快,但是精度很成问题,所以在使用的时候强烈推荐eziir32.m,其程序内部运算为32位的,运算速度对于电力电子开关电源应用来说也相对可以接受。 现以巴特沃思低通滤波器设计为例,介绍整个设计流程。 1.打开matlab,运行程序 eziir3 2.m。(\dsp_tbox\filter\matlab\ezIIR) 2.依次选择滤波器类型1,滤波器响应1,采样频率(通常是你的开关频率)10000,通带 增益下降3db,阻带增益衰减40db,转折频率,截止频率,最后命名输出文件名。 ezIIR FILTER DESIGN SCRIPT Butterworth : 1 Chebyshev(Type 1) : 2 Chebyshev(Type 2) : 3 Elliptic : 4

DSP课程设计 fir数字滤波器

目录 第1章绪论 (1) 1.1设计背景 (1) 1.2设计要求 (1) 1.3设计思路简介 (1) 第2章系统开发平台与环境 (2) 1.1CCS开发环境 (2) 2.2SEED-DEC2812开发实验箱 (2) 第3章 FIR滤波器设计过程 (3) 3.1FIR滤波器设计总框图 (3) 3.2FIR滤波器设计的原理 (3) 3.3 FIR滤波器的设计方法 (4) 第4章系统软件设计 (5) 4.1程序流程图 (5) 4.2程序源代码 (6) 第5章系统仿真 (11) 5.1仿真设置 (11) 5.2仿真图 (12) 第6章总结 (16) 参考文献 (17)

第1章绪论 1.1设计背景 在信号处理中,滤波占有十分重要的地位。数字滤波是数字信号处理的基本方法。数字滤波与模拟滤波相比有很多优点,它除了可避免模拟滤波器固有的电压漂移、温度漂移和噪声等问题外,还能满足滤波器对幅度和相位的严格要求。低通有限冲激响应滤波器(低通FIR滤波器)有其独特的优点,因为FIR系统只有零点,因此,系统总是稳定的,而且容易实现线性相位和允许实现多通道滤波器。 DSP(数字信号处理器)与一般的微处理器相比有很大的区别,它所特有的系统结构、指令集合、数据流程方式为解决复杂的数字信号处理问题提供了便利,本文选用TMS320C54X作为DSP处理芯片,通过对其编程来实现FIR 滤波器。 对数字滤波器而言,从实现方法上,有FIR滤波器和无限冲激响应(IIR)滤波器之分。由于FIR滤波器只有零点,因此这一类系统不像IIR系统那样易取得比较好的通带与阻带衰减特性。但是FIR系统有自己突出的优点:①系统总是稳定的; ②易实现线性相位;③允许设计多通带(阻带)滤波器。其中后两项是IIR系统不易实现的。 1.2设计要求 利用C语言在CCS环境中编写一个FIR滤波器程序,并能利用已设计好的滤波器对常用信号进行滤波处理。 1.3设计思路简介 在TMS320C54x系统开发环境CCS(Code Composer Studio)下对FIR滤波器的DSP实现原理进行讨论。利用C语言设计相应的滤波器,通过实验仿真,从输入信号和输出信号的时域和频域曲线可看出在DSP上实现的FIR滤波器能完成预定的滤波任务。

电子科大通信学院DSP数字信号处理实验报告四-滤波器设计

电 子 科 技 大 学 实 验 报 告 学生姓名: 学 号: 指导教师: 一、实验室名称:数字信号处理实验室 二、实验项目名称:数字滤波器的设计及实现 三、实验原理: 一.数字滤波器设计: 1.数字滤波器设计步骤: (1) 根据给定的滤波器设计要求,得到参数化描述,即通带,阻带截 止频率p ω和s ω,通带阻带纹波p δ和s δ等数据。 (2) 找一个数字系统函数G(z),使其频率响应逼近设计要求。 (3) 择合适的滤波器结构对满足要求的传递函数G(z)进行实现。 2.数字滤波器设计中的注意事项: (1) 设计要求的参数化:图1给出了一个典型的数字低通滤波器的幅 频特性说明。理解每个参数的物理含义。 (2) 滤波器类型选择:在数字滤波器实现中可选择IIR 滤波器和FIR 滤波器两种。在实现相同幅频特性时,IIR 滤波器的阶数会相对FIR 滤波器的更低;而在实现中,对相同阶数的两种滤波器来看,对每个采样值所做的乘法数量,IIR 约为FIR 的两倍;另外,FIR 还可以方便地设计成线性相位滤波器。总的来说,IIR 滤波器除不能实现线性相位这一点外,由于阶数的原因,从计算复杂度上 ) ( ω j e G P δ + 1 P δ - 1 ? s δ S ω - P ω - P ω S ω 通带 阻带 过渡带 ω 图1.典型的数字LPF 幅频特性

较FIR 滤波器有很大的优势。根据以上这些区别,结合实际的设计要求,就可以选择一款合适的滤波器。 (3) 波器设计的方法:由于IIR 滤波器和FIR 滤波器各自的结构特点, 所以它们的设计方法也不一样。在IIR 滤波器的设计中,常用的 方法是:先根据设计要求寻找一个合适的模拟原型滤波器)(s H a ,然后根据一定的准则将此模拟原型滤波器转换为数字滤波器 )(z G ,即为我们需要设计的数字滤波器。在FIR 滤波器设计中, 一般使用比较直接的方法:根据设计的要求在时域对理想的冲击响应序列进行加窗逼近,或从频域对需要实现的频率响应特性进行采样逼近然后进行反FFT 。 (4) 波器阶数估计:IIR 滤波器的阶数就等于所选的模拟原型滤波器 的阶数,所以其阶数确定主要是在模拟原型滤波器设计中进行的。FIR 滤波器阶数估计可以根据很多工程中的经验公式,这些公式可以直接从设计的参数要求中估计滤波器阶数。例如,对FIR 低 通滤波器,已知通带截止频率p ω,阻带截止频率s ω,最大通带纹波p δ和最大最带纹波s δ,则可以使用下面的公式估计其阶数: π ωωδδ2/)(6.1413)(log 2010p s s p N ---? 3.数字滤波器的设计方法: (1) IIR 滤波器设计方法: (a)冲击响应不变法: A. 满足设计要求的模拟原型滤波器)(s H a 进行部分分式展开为: B. 由于 ,可以得到: (b)双线性变换法: A. 设计要求中给出的边界频率进行预畸处理,然后用得到的频 率进行模拟滤波器设计,得到模拟原型滤波器)(s H a 。 B. 用双线性变换法求出数字滤波器:1 111| )()(--+-==z z z a s H z G 。 (2) FIR 滤波器设计方法: (a)窗函数法: ∑ =-=N k k k a s s A s H 1)() 0)(Re(m ax

dsp实验设计数字带通滤波器

一.实验要求 二.IIR滤波器实现 巴特沃斯滤波器: wp1=0.45*pi; wp2=0.65*pi; ws1=0.3*pi; ws2=0.75*pi; [N,wn]=buttord([wp1 wp2],[ws1 ws2],1,40,'s'); [B,A]=butter(N,wn,'s'); [num,den]=bilinear(B,A,1); [h,w]=freqz(num,den); subplot(2,2,1) plot(w/pi,20*log10(abs(h))); axis([0,1,-45,5]); grid; xlabel('频率/(单位:pi)') ylabel('幅度/dB') subplot(2,2,2) plot(w/pi,angle(h)); axis([[0,1,-45,5]]); grid; xlabel('频率/(单位:pi)') ylabel('相位/度')

特点: A.IIR数字滤波器采用递归型结构,即结构上带有反馈环路。IIR滤波器运算 结构通常由延时、乘以系数和相加等基本运算组成。 B.实现形式有直接型、正准型、级联型、并联型四种,都具有反馈回路。三.FIR滤波器 [n,wn,bta,ftype]=kaiserord([0.3 0.45 0.65 0.8],[0 1 0],[0.01 0.1087 0.01]); h1=fir1(n,wn,ftype,kaiser(n+1,bta),'noscale'); [hh1,w1]=freqz(h1,1,256); figure(1) subplot(2,1,1) plot(w1/pi,20*log10(abs(hh1))) grid xlabel('归一化频率w');ylabel('幅度/db'); subplot(2,1,2) plot(w1/pi,angle(hh1)) grid xlabel('归一化频率w');ylabel('相位/rad'); 给出h(n): h1 = Columns 1 through 8 0.0041 0.0055 -0.0091 -0.0018 -0.0056 -0.0000 0.0391 -0.0152 Columns 9 through 16 -0.0381 0.0077 -0.0293 0.0940 0.0907 -0.2630 -0.0517 0.3500

基于DSP的FIR数字低通滤波器设计

电气控制技术应用设计 题目 基于DSP 的FIR 数 字低通滤波器设计 二级学院 电子信息与自动化学院 专 业 电气工程及其自动化 班 级 113070404 学生姓名 黄鸿资 学号 11307991032 学生姓名 姜天宇 学号 11307991015 指导教师 蒋东荣 时间:2016年8月29日至2016年9月9日 考核项目 平时成绩20分 设计35分 报告15分 答辩30分 得分 总分 考核等级 教师签名

一绪论 (3) (一)课题设计的目的 (3) (二)课题内容 (3) (三)设计方法 (3) (四)课程设计的意义 (4) 二FIR滤波器基本理论 (4) (一)FIR滤波器的特点 (4) (二)FIR滤波器的基本结构 (4) (三)Chebyshev逼近法 (5) 三用MATLAB辅助DSP设计FIR滤波器 (5) (一)利用fir函数设计FIR滤波器并在在MATLAB环境仿真 (6) (二) Matlab中自带工具箱FDATool快速的实现滤波器的设计 (10) 1.确定一个低通滤波器指标 (10) 2.打开MATLAB的FDATool (10) 3.选择Design Filter (11) 4.滤波器分析 (11) 5.导出滤波器系数 (13) (三)滤波器设计总结 (13) (四)DSP所需文件配置 (14) 四基于DSP的FIR滤波器实现 (14) (一)DSP中滤波器的算法实现 (15) 1.线性缓冲区法 (15) 2.循环缓冲区法 (15) (二)C语言实现FIR (15) (三)CSS仿真调试 (17) (四)滤波器的仿真测试 (18) 五 DSP数字滤波器与硬件低通滤波器对比 (21) (一)二阶有源低通滤波电路的构建 (21) (二)二阶低通滤波器参数计算 (22) (三)在protues环境下的仿真测试 (22) (四)实物硬件连接以及测试结果 (22) (五)利用FilterPro的低通滤波器设计 (23) 1 选择filter类型 (24) 2 滤波器参数设定 (24) 3 滤波器的算法选择 (25) 4 滤波器的拓扑结构选择 (25) (六) DSP数字滤波器与硬件电路滤波器对比总结 (26) 六课程设计总结 (26) 参考文献 (28)

基于DSP的FIR数字滤波器

基于DSP的FIR数字滤波器

学号 ******************** 成绩 评语 题目基于DSP 的FIR数字滤波器设计 作者************* 班级******** 院别************** 专业电子信息 完成时间2012年05月23号

目录 一概述 (2) 1.1 数字滤波器的研究现状 (2) 1.2 论文主要完成的工作 (2) 二系统硬件设计 (3) 2.1 系统设计方案 (3) 2.2 主控模块 (6) 2.3 显示模块 (7) 三系统软件设计 (10) 3.1 软件整体设计 (10) 3.2 测量模块 (10) 四系统测试结果与总结 (11) 4.1 硬件调试中要注意到的问题 (11) 4.2 软件调试中要注意到的问题 (11) 4.3 结果 (11) 4.4 总结 (12) 五参考文献 (13) 六附件 (14)

基于DSP的 FIR数字滤波器设计 一、概述 1.1 数字滤波器的研究现状 滤波器的主要分类有以下几种: (1)按处理信号类型分类,可分为模拟滤波器和离散滤波器两大类。其中模拟滤波器又可分为有源、无源、异类三个分类;离散滤波器又可分为数字、取样模拟、混合三个分类。 (2)按选择物理量分类,滤波器可分为频率选择、幅度选择、时间选择(例如PCM制中的话路信号)和信息选择(例如匹配滤波器)等四类滤波器。 (3)按频率通带范围分类,滤波器可分为低通、高通、带通、带阻、全通五个类别,而梳形滤波器属于带通和带阻滤波器,因为它有周期性的通带和阻带。 为适应各种需要,出现了一批新型滤波器,这里介绍几种已得到广泛应用的新型滤波器: (1)电控编程CCD横向滤波器(FPCCDTF):电荷耦合器(CCD)固定加权的横向滤波器(TF)在信号处理中,其性能和造价均可与数字滤波器和各种信号处理部件媲美。这种滤波器主要用于自适应滤波;P-N序列和Chirp波形的匹配滤波;通用化的频域滤波器及相关积运算;语音信号和相位均衡;相阵系统的波束合成和电视信号的重影消除等均有应用。当然,更多的应用有待进一步开拓。总之,FPCCDTF 是最有希望的发展方向。 (2)晶体滤波器:它是适应单边带技术而发展起来的。在20世纪70年代,集成晶体滤波器的产生,使它的发展产生一个飞跃。近十年来,晶体滤波器致力于下面一些研究:实现最佳设计,除具有优良的选择外,还具有良好的时域响应;寻求新型材料;扩展工作频率;改造工艺,使其向集成化发展。它广泛应用于多路复用系统中作为载波滤波器,在收发信中,单边带通信机中作为选频滤波器,在频谱分析仪和声纳装置中作为中频滤波器。 (3)声表面滤波器:它是理想的超高频器件。它的幅频特性和相位特性可以分别控制,以达到要求,而且它还有体积小,长时间稳定性好和工艺简单等特点。通常应用于:电视广播发射机中作为残留边带滤波器;在彩色电视接收机中调谐系统的表面梳形滤波器。此外,在国防卫星通信系统中已广泛采用。声表面滤波器是电子学和声学相结合的产物,而且可以集成,所以,它在所有无源滤波器中最有发展前途的。 我国现有滤波器的种类和所覆盖的频率已基本上满足现有各种电信设备。从整体而言,我国有源滤波器发展比无源滤波器缓慢,尚未大量生产和应用。从下面的生产

dsp滤波要点

数字信号处理 综合设计性实验报告 学院:电子信息工程学院 班级:自动化0706班 电子0701班 指导教师:高海林 学生:张越07213056 陈冠宇07214004 北京交通大学电工电子教学基地 2010年1月20日

利用DSP实现信号滤波 一:实验目的 实现离散信号滤波是DSP的基本功能,本实验中我们尝试实现分别了设计FIR 和IIR滤波器实现低通,高通,带通,带阻四种滤波器对正弦离散信号进行滤波。(1)学会编写滤波程序和输入信号程序。 (2)熟悉CCS集成开发环境,熟悉DSK板的使用。 (3)通过实验比较FIR和IIR在设计上和滤波效果上的区别。 二:FIR滤波器的设计 例:设计一个采样频率Fs为8000Hz,输入信号频率为1000HZ、2500HZ与4000HZ 的合成信号,通过设计FIR滤波器分别实现低通,高通,带通,带阻的滤波功能。 一:实验原理 一个线性位移不变系统的输出序列y(n)和输入序列x(n)之间的关系,应满 足常系数线性差分方程: x(n): 输入序列,y(n): 输出序列,ai、bi : 滤波器系数,N: 滤波器的阶数。在式上式中,若所有的ai 均为0,则得FIR 滤波器的差分方程: 对上式进行z 变换,可得FIR 滤波器的传递函数: FIR 滤波器的结构

FIR 滤波器的单位冲激响应h(n)为有限长序列。 若h(n)为实数,且满足偶对称或奇对称的条件,则FIR 滤波器具有线性相位特性。在数字滤波器中,FIR 滤波器具有如下几个主要特点: ① FIR 滤波器无反馈回路,是一种无条件稳定系统; ② FIR 滤波器可以设计成具有线性相位特性。 本实验程序设计的就是一种偶对称的线性相位滤波器。 程序算法实现采用循环缓冲区法。 二:算法原理: ①在数据存储器中开辟一个N个单元的缓冲区(滑窗),用来存放最新的N个输入样本; ②从最新样本开始取数; ③读完最老样本后,输入最新样本来代替最老样本,而其他数据位置不变; ④用BK 寄存器对缓冲区进行间接寻址,使缓冲区地址首尾相邻。 三:实验程序设计步骤 1.FIR滤波器设计 利用MATLAB中的FDA工具导出正确的参数。 2.产生滤波器输入信号文件 以下是一个产生输入信号的C语言程序,信号是频率为1000Hz、2500Hz和4000Hz 的正弦波合成的波形: #include #include

基于DSP的典型数字滤波器的设计

基于DSP的典型数字滤波器的设计 近年来,经济的发展,促进我国科技水平的提升。科技的发展越来越离不开数字信号处理技术,尤其是在电子、通信、自制这种高科技领域,数字信号处理水平决定了项目发展的高度。数字信号处理不存在漂移现象,所以相对与模拟滤波器而言,数字信号滤波器能更好的处理低频信号,并具有更理想的频率响应特性,更高的精度,且易于集成化处理。因此,数字滤波器在高科技领域的应用越来越普遍。本文就基于DSP的典型数字滤波器的设计展开探讨。 标签:数字信号;滤波器;DSP 引言 相比于传统的模拟滤波器,数字滤波器没有模拟器件的温度和电压漂移,性能更加可靠且能够达到很高的精度,同时滤波器参数容易修改。由于数字器件的规范性,数字滤波器能够进行大规模的集成,通过DSP数字处理芯片可以实现并行操作处理。 1数字滤波器的主要定义 数字滤波器是与模拟滤波器相对应的,我们在常用的离散系统中,使用数字滤波器。它的主要作用是利用離散时间系统的特性,在这里时间就是一个变量,然后在对外部输入的信号,进行处理,这里的输入信号一般都是广义上的波形型号,信号可以是电压、电流、功率等。当然也有类似于频率等这种。在实际的操作中,我们也可以把输入的信号波形变成输出,也就是将输入和输出倒置。从而实现我们将信号的频谱修改的目的 2数字滤波器的设计方法 2.1DSP系统 DSP信号处理流程如下,首先将样本输入,经过抗混叠滤波、A/D转换、DSP芯片、D/A转换、平滑滤波后,将计算结果输出。在信号处理过程中,大部分为模拟信号,但DSP只对数字信息进行接收,因此先要将模拟信号利用A/D 转变为数字信号,在实际应用中,对FTT变化、相对卷积进行处理,再对数字信号进行转化后,使其成为模拟采样值,最终将不平滑的滤波输入到滤波器中,经过处理后获得连续信号。对于DSP系统来说,在信号处理理论的引导下,具有数字信号的特点,主要体现在两个方面,一是与之兼容的接口较多,且均具有数字化特点,便于与其他接口相连;二是由于DSP芯片中,系统可根据实际操作,由工作者进行编程后改变,具有较强灵活性,可重复操作;三是运行速度较快,可达10GMIPS,甚至更多。 2.2FIR数字滤波器软硬件设计

相关文档
相关文档 最新文档