文档库 最新最全的文档下载
当前位置:文档库 › 计数器原理分析及应用实例

计数器原理分析及应用实例

计数器原理分析及应用实例
计数器原理分析及应用实例

计数器原理分析及应用实例

除了计数功能外,计数器产品还有一些附加功能,如异步复位、预置数(注意,有同步预置数和异步预置数两种。前者受时钟脉冲控制,后者不受时钟脉冲控制)、保持(注意,有保持进位和不保持进位两种)。虽然计数器产品一般只有二进制和十进制两种,有了这些附加功能,我们就可以方便地用我们可以得到的计数器来构成任意进制的计数器。下面我们举两个例子。在这两个例子中,我们分别用同步十进制加法计数器74LS160构成一个六进制计数器和一个一百进制计数器。

因为六进制计数器的有效状态有六个,而十进制计数器的有效状态有十个,所以用十进制计数器构成六进制计数器时,我们只需保留十进制计数器的六个状态即可。74LS160的十个有效状态是BCD编码的,即0000、0001、0010、0011、0100、0101、0110、0111、1000、1001[图5-1]。

图5-1

我们保留哪六个状态呢?理论上,我们保留哪六个状态都行。然而,为了使电路最简单,保留哪六个状态还是有一点讲究的。一般情况下,我们总是保留0000和1001两个状态。因为74LS160从1001变化到0000时,将在进位输出端产生一个进位脉冲,所以我们保留了0000和1001这两个状态后,我们就可以利用74LS160的进位输出端作为六进制计数器的进位输出端了。于是,六进制计数器的状态循环可以是0000、0001、0010、0011、0100和1001,也可以是0000、0101、0110、0111、1000和1001。我们不妨采用0000、0001、0010、0011、0100

和1001这六个状态。

如何让74LS160从0100状态跳到1001状态呢?我们用一个混合逻辑与非门构成一个译码器[图5.3.37b],当74LS160的状态为0100时,与非门输出低电平,这个低电平使74LS160工作在预置数状态,当下一个时钟脉冲到来时,由于等于1001,74LS160就会预置成1001,从而我们实现了状态跳跃。

图5.3.37b用置数法将74160接成六进制计数器(置入1001)

比这个方案稍微繁琐一点的是利用74LS160的异步复位端。下面这个电路中[图5.3.34],也有一个由混合逻辑与非门构成的译码器。

图5.3.34用置零法将74LS160接成六进制计数器

不过,这个译码器当输入为0110时才输出低电平,使74LS160异步复位,进入0000这个状态。从0000状态开始,随着时钟脉冲的不断到来,74LS160依次变为0001、0010、0011、0100、0101、和0110状态[图5-2]。可能有人说:“不对!这个电路总共有七个状态,应该是七进制计数器呀!”我们说,这个电路虽然要经历七个状态,但是只需六个脉冲就完成一个计数循环,因此它仍是六进制计数器。

图5-2

我们仔细分析一下。假设74LS160的初始状态为0000,第一个时钟脉冲到达后,它的状态变为0001,第二个时钟脉冲到达后,它的状态变为0010,……,第五个时钟脉冲到达后,它的状态变为0101,第六个时钟脉冲到达后,它的状态变为0110。当74LS160处于0110这个状态时,译码器输出低电平,使74LS160异步复位,进入0000这个状态。这段话里,“异步复位”是一个关键词。与同步复位不同,异步复位不受时钟脉冲的控制。于是,译码器的输出刚变成低电平,74LS160的状态就变成了0000。理想情况下,74LS160在第六个时钟周期内首先在0110状态停留片刻,然后就稳定地停留在0000状态。我们知道,计数器的工作对象是时钟脉冲。计数,就是计时钟脉冲的个数。在我们这个例子中,74LS160从0000状态出发,经过六个(而不是七个)时钟周期又回到了0000状态,也就是说,每六个(而不是七个)时钟脉冲就使74LS160的状态循环一次。因此,这个电路是一个六进制计数器。计数循环中包括0000、0001、0010、0011、0100和0101这六个稳定状态。可能有人说:“唔,听你这么一解释,我也觉得它是六进制计数器。不过,把七个状态算成六个总是有点儿别扭。唉,只要它能工作,我就不计较它是经历六个状态还是七个状态了。”我说:“对,我也这样想。然而,

事与愿违呀!不改进的话,这个电路还真不能工作。”为什么呢?我们知道,计数器的状态是触发器记忆的。74LS160有四个触发器,分别记忆。这四个触发器的工作速度是有差异的。在74LS160从0110变成0000的过程中,和的状态不变,和的状态要从1变成0。我们假设比快,那么刚刚从1变0时,仍然处于1状态。这时,译码器的输出就不是低电平了,74LS160的异步复位信号就消失了。在异步复位信号持续时间过短的情况下,将保持1状态不变。于是74LS160将停留在0010状态,而不是我们期望的0000状态。显然,这是一种竞争冒险现象,因为74LS160是否能够从0110变成0000取决于和的竞争结果。怎样使异步复位信号持续足够长的时间呢?我们来看看这个电路[图5.3.36]。

图5.3.36图5.3.34电路的改进

两个与非门构成了RS锁存器,以它的端输出的低电平作为74LS160的异步复位信号。若74LS160从0000状态开始计数,则第六个时钟脉冲上升沿到达时进入0110状态,使RS锁存器置位,端输出低电平。74LS160在0110状态作短暂停留后,迅速转入其它状态,如0010或0100,译码器输出的负脉冲消失。如果我们把这个窄脉冲直接作为74LS160的异步复位信号,计数器不一定能够可靠地工作。如果我们把这个窄脉冲作为RS锁存器的置位信号,把时钟脉冲作为RS锁存器的复位信号,再将RS锁存器的作为74LS160的异步复位信号,计数器一定能够可靠地工作,因为输出的负脉冲的宽度与时钟脉冲高电平的持续时间

相等。

第二个例子要求我们构成一百进制计数器,也就是说,计数器的状态为0010到9910。因为100等于10乘以10,所以我们可以用两个74LS160构成一个一百进制计数器,其中一个计数器的状态表示个位数,另一个计数器的状态表示十位数,后者在前者的进位信号控制下计数。我们有两种方案。第一种方案称为并行进位方式[图5.3.39]。

图5.3.39例5.3.3电路的并行进位方式

这种方案的特点是两个74LS160的CP端都接到时钟脉冲上。不过,第一个74LS160始终工作在计数方式,每一个时钟脉冲都使其状态发生变化;第一个74LS160只有在第一个74LS160进位输出为高电平是才工作在计数方式,每十个时钟脉冲才使其状态发生变化。若计数器从0010状态开始计数,则第九个时钟脉冲到达后,第一个74LS160的状态变为910且进位输出变为高电平,使第二个74LS160进入计数方式。因为第二个74LS160进入计数方式的时间比第九个时钟脉冲到达的时间晚,就是说,第九个时钟脉冲到达时第二个74LS160尚未进入计数方式,所以,第九个时钟脉冲并不能使第二个74LS160的状态发生变化,其状态仍为010。于是,计数器的状态为0910。第十个时钟脉冲到达后,第一个74LS160的状态变为010且进位输出变为低电平,使第二个74LS160退出计数方式。因为第二个74LS160退出计数方式的时间比第十个时钟脉冲到达的时间晚,就是说,第十个时钟脉冲到达时第二个74LS160尚未退出计数方式,所以,第十个时钟脉冲使第二个74LS160的状态发生变化,其状态变为110。于是,计数器的状态为1010。第二种方案称为串行进位方式,这种方案的特点是,两个74LS160都始终工作在计数方式。不过,第一个74LS160的CP端接到时钟

脉冲上,每一个时钟脉冲都使其状态发生变化;第一个74LS160的CP端接到第一个74LS160进位输出上,每十个时钟脉冲才使其状态发生变化。经常有学生问:“为什么在第一个74LS160的进位输出端和第二个74LS160的时钟脉冲输入端之间要加上一个非门呢?”这里面有点儿奥妙。若计数器从0010状态开始计数,则第九个时钟脉冲到达后,第一个74LS160的状态变为910且进位输出变为高电平。如果没有这个非门,第一个74LS160的进位输出端的电平变化将使第二个74LS160的状态发生变化,其状态变为110。于是计数器的状态就从0810变成1910,而不是我们所希望的0910。有了这个非门,情况就不一样了,因为第一个74LS160的进位输出端的脉冲上升沿被非门转换成下降沿,所以第一个74LS160的进位输出端的电平变化并不能使第二个74LS160的状态发生变化,其状态仍为010。于是计数器的状态就从0810变成我们所希望的0910。第十个时钟脉冲到达后,第一个74LS160的状态变为010且进位输出变为低电平。因为第一个74LS160的进位输出端的脉冲下降沿被非门转换成上升沿,所以第一个74LS160的进位输出端的电平变化使第二个74LS160的状态发生变化,其状态变为110。于是计数器的状态又从0910变成1010。

我们再举一个用74LS160构成二十九进制计数器的例子。因为29是一个素数,除了1和它本身外,不能表达为两个整数的乘积,所以我们要把工作分解成两个步骤。第一步,我们用两个十进制计数器构成一个一百进制计数器;第二步,我们用这个一百进制计数器构成二十九进制计数器。第一步可以采用并行进位方式或串行进位方式实现;第二步可以用置位法或复位法实现。因为这里要对两个74LS160同时置位或复位,所以也称为整体置位法或整体复位法。

约翰逊计数器

环形计数器是由移位寄存器加上一定的反馈电路构成的,用移位寄存器构成环形计数器的一般框图见图23-5-1,它是由一个移位寄存器和一个组合反馈逻辑电路闭环构成,反馈电路的输出接向移位寄存器的串行输入端,反馈电路的输入端根据移位寄存器计数器类型的不同,可接向移位寄存器的串行输出端或某些触发器的输出端。 图23-5-1 移位寄存器型计数器方框图 23.5.1 环形计数器 23.5.1.1 电路工作原理 图23-5-2为一个四位环形计数器,它是把移位寄存器最低一位的串行输出端Q1反馈到最高位的串行输入端(即D触发器的数据端)而构成的,环形计数器常用来实现脉冲顺序分配的功能(分配器)。 假设寄存器初始状态为[Q4Q3Q2Q1]=1000,那么在移位脉冲的作用下,其状态将按表23-11 中的顺序转换。 当第三个移位脉冲到来后,Q1=1,它反馈到D4输入端,在第四个移位脉冲作用下Q4=1,回复到初始状态。表23-11中的各状态将在移位脉冲作用下,反复在四位移位寄存器中不断循环。

由上述讲讨论可知,该环形计数的计数长度为N=n。和二进制计数器相比,它有2n-n个状态没有利用,它利用的有效状态是少的。 23.5.1.2 状态转换图和工作时序 表23-11中是以1000为初始状态的,它所对应的状态转换图见图23-5-3。如果移位寄存器中的初始状态不同,就会有不同的状态转换图。图23-5-4给出了四位环形计数器可能有的其它几种状态转换图。 图23-5-3 状态转换图 (a) (b) (c) (d) 图23-5-4 四位环行计数器其它的状态转换图 图23-5-4(a)、(b)、(c)三个状态转换图中各状态是闭合的,相应的时序为循环时序。当计数器处于图23-5-4(d)所示的状态0000或1111时,计数器的状态将不发生变化。这两个状态称为悬态或死态。 四位环形计数器可能有这么多不同的循环时序,是我们不希望的,只能从这些循环时序中选出一个来工作,这就是工作时序,或称为正常时序,或有效时序。其它末被选中的循环时序称为异常时序或无效时序。一般选图23-5-3的时序为工作时序,因为它只循环一个“1”,不用经过译码就可从各触发器的Q端得到顺序脉冲输出,参看图23-5-5。

(工作分析)计数器工作原理的模式化分析

(工作分析)计数器工作原理的模式化分析

计数器工作原理的模式化分析 时序逻辑电路是《脉冲和数字电路》这门课程的重要组成部分,计数器是时序逻辑电路基础知识的实际应用,其应用领域非常广泛。计数器原理是技工学校电工电子专业学生必须重点掌握的内容,也是本课程的考核重点,更是设计计数器或其他电子器件的基础。 但近年来技校学生的文化理论基础和理解能力普遍较差,按照课件体系讲授计数器这个章节的知识,超过70%的学生听不懂。 我先后为四届学生讲授过这门课,于教学实践中摸索出壹套分析计数器的方法——模式化分析,即把分析步骤模式化,引导学生按部就班地分析计数器。用这种方法分析,我只要以其中壹种计数器(如异步二进制计数器)为例讲解,学生便能够自行分析其他计数器。 教学实践证明,用这种方法讲授计数器知识,学生比较感兴趣,觉得条理清晰,易于理解,掌握起来比较轻松。这种方法仍有壹个好处,不管是同步计数器仍是异步计数器,不管是二进制计数器仍是十进制计数器,不管是简单的计数器仍是复杂的计数器,只要套用这种方法,计数器工作原理迎刃而解。即使是平时基础很差的学生,只要记住几个步骤,依葫芦画瓢,也能把计数器原理分析出个大概来。 一、明确计数器概念 分析计数器当然要先清楚什么是计数器啦。书上的概念是:

计数器是数字系统中能累计输入脉冲个数的数字电路。我告诉学生,计数器就是这样壹种电子设备:把它放于教室门口,每个进入教室的同学均于壹个按钮上按壹下,它就能告诉你壹共有多少位同学进入教室。其中,每个同学按壹下按钮就是给这个设备壹个输入信号,N个同学就给了N个信号,这N个信号就构成计数器的输入CP脉冲,计数器要统计的就是这个CP脉冲系列的个数。当然,如果没有接译码器,计数器的输出端显示的是二进制数而非十进制数,比如有9位同学进入教室,它不显示“9”,而是显示“1001”。 随后,我简要介绍了计数器的构成和分类,且强调,计数器工作前必须先复位,即每个触发器的输出端均置零。 二、回顾基础知识 分析计数器要用到触发器的关联知识,其中JK触发器最常用,偶尔用到T触发器和D触发器。因此,介绍完计数器概念后,我不急于教学生分析其原理,而是先提问JK、T、D触发器的关联知识,包括触发器的逻辑符号、特性方程、特性表等。 由于计数器的控制单元由逻辑门电路构成,分析前仍要简要回顾壹下和、或、非等常用逻辑门电路的关联知识。另外,用模式化方法分析计数器仍要用到逻辑代数的运算方法、逻辑函数的化简方法等关联知识。 三、画出解题模板 准备工作做完了,下面进入核心部分——列出分析计数器的

控制器的工作原理介绍

控制器的工作原理介绍 控制器是指按照预定顺序改变主电路或控制电路的接线和改变电路中电阻值来控制电动机的启动、调速、制动和反向的主令装置。由程序计数器、指令寄存器、指令译码器、时序产生器和操作控制器组成,它是发布命令的“决策机构”,即完成协调和指挥整个计算机系统的操作。 控制器的分类有很多,比如LED控制器、微程序控制器、门禁控制器、电动汽车控制器、母联控制器、自动转换开关控制器、单芯片微控制器等。 1.LED控制器(LED controller):通过芯片处理控制LED灯电路中的各个位置的开关。控制器根据预先设定好的程序再控制驱动电路使LED阵列有规律地发光,从而显示出文字或图形。 2.微程序控制器:微程序控制器同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被广泛地应用。在计算机系统中,微程序设计技术是利用软件方法来设计硬件的一门技术。 3.门禁控制器:又称出入管理控制系统(Access Control System) ,它是在传统的门锁基础上发展而来的。门禁控制器就是系统的核心,利用现代的计算机技术和各种识别技术的结合,体现一种智能化的管理手段。 4.电动汽车控制器:电动车控制器是用来控制电动车电机的启动、运行、进退、速度、停止以及电动车的其它电子器件的核心控制器件,它就象是电动车的大脑,是电动车上重要的部件。 上述只是简单的介绍了几种控制器的名称和主要功能,控制器的种类繁多、技术不同、领域不同。 在控制器领域内,高标科技作为一家国家级的高新企业,其主打产品是电动车控制器,并且在电动车控制领域内占有很重要的地位,之前已经说到电动车控制器是用来控制电动车电机的启动、运行、进退、速度、停止以及电动车的其它电子器件的核心控制器件,它就象是电动车的大脑,是电动车上重要的部件。高标科技在这里为大家介绍一下高标控制器的基本工作原理: (一)高标科技电动车控制器的结构 电动车控制器是由周边器件和主芯片(或单片机)组成。周边器件是一些功能

通用计数器附其应用

第七章通用计数器及其应用 电子计数器是一种多功能的电子测量仪器。它利用电子学的方法测出一定时间内输入的脉冲数目,并将结果以数字形式显示出来。通常电子计数器按照它的功能可分为以下三类:1)通用计数器通常指多功能计数器。它可以用于测量频率、频率比、周期、时间间隔和累加计数等,如配以适当的插件,还可以测量相位、电压等电量。 2)频率计数器其功能为测频和计数。测频范围很宽,在高频和微波范围内的计数器均属于此类。 3)计算计数器带有微处理器、具有计算功能。它除具有计数器功能外,还能进行数学运算、求解比较复杂的方程式,能依靠程控进行测量、计算和显示等全部工作。 图7-1 通用电子计数器方框图 一、通用电子计数器的基本组成 电子计数器的基本组成原理方框图见图7-1。这是一种通用多功能电子计数器。电路由A、B输入通道、时基产生与变换单元、主门、控制单元、计数及显示单元等组成。电子计数器的基本功能是频率测量和时间测量,但测量频率和测量时间时,加到主门和控制单元的信号源不同,测量功能的转换由开关来操纵。累加计数时,加到控制单元的信号则由人工控制。至于计数器的其它测量功能,如频率比测量、周期测量等则是基本功能的扩展。(一)A、B输入通道 输入通道送出的信号,经过主门进入计数电路,它是计数电路的触发脉冲源。为了保证计数电路正确工作,要求该信号具有一定的波形、极性和适当的幅度,但输入被测信号的幅

度不同,波形也多种多样,必须利用输入通道对信号进行放大、整形,使其变换为符合主门要求的计数脉冲信号。输入通道共有两路。由于两个通道在测试中的作用不同,也各有其特点。 A 输入通道是计数脉冲信号的输入电路。其组成如图7-2(a )所示。 7-2 输入通道方框图 当测量频率时,计数脉冲是输入的被测信号经整形而得到的。当测量时间时,该信号是仪器内部晶振信号经倍频或分频后再经整形而得到的。究竟选用何种信号,由选通门的选通控制信号决定。 B 输入通道是闸门时间信号的通路,用于控制主门是否开通。该信号经整形后用来触发双稳态触发器,使其翻转。以一个脉冲启开主门,而以随后的一个脉冲关门。两脉冲的时间间隔为开门时间。在此期间,计数器对经过 A 通道的计数脉冲计数。为保证信号在一定的电平时触发,输入端可对输入信号电平进行连续调节。在施密特电路之后还接有倒相器,从而可任意选择所需要的触发脉冲极性。 有的通用计数器闸门时间信号通路有两路,分别称为B 、C 通道。两通道的电路结构完全相同。B 通道用来作门控双稳的“启动”通道,使双稳电路翻转;C 通道用作门控双稳“停止”通道,使其复原。两通道的输出经由或门电路加至门控双稳触发器的输入端。 (二)主门 主门又称信号门或闸门,对计数脉冲能否进入计数器起着闸门的作用。主门电路是一个标准的双输入逻辑门,如图7-3所示。它的一个输入端接入来自门控双稳触发器的门控信号,另一个输入端则接收计数用脉冲信号。在门控信号有效期间,计数脉冲允许通过此门进入计数器计数。 在测量频率时的门控信号为仪器内部的闸门时间选择电路送来的标准信号,在测量周期或时间时则是整形后的被测信号。 图7-3 主门电路

计数器工作原理及应用

计数器工作原理及应用 除了计数功能外,计数器产品还有一些附加功能,如异步复位、预置数(注意,有同步预置数和异步预置数两种。前者受时钟脉冲控制,后者不受时钟脉冲控制)、保持(注意,有保持进位和不保持进位两种)。虽然计数器产品一般只有二进制和十进制两种,有了这些附加功能,我们就可以方便地用我们可以得到的计数器来构成任意进制的计数器。下面我们举两个例子。在这两个例子中,我们分别用同步十进制加法计数器74LS160构成一个六进制计数器和一个一百进制计数器。 因为六进制计数器的有效状态有六个,而十进制计数器的有效状态有十个,所以用十进制计数器构成六进制计数器时,我们只需保留十进制计数器的六个状态即可。74LS160的十个有效状态是BCD编码的,即0000、0001、0010、0011、0100、0101、0110、0111、1000、1001[图5-1]。 图5-1 我们保留哪六个状态呢?理论上,我们保留哪六个状态都行。然而,为了使电路最简单,保留哪六个状态还是有一点讲究的。一般情况下,我们总是保留0000和1001两个状态。因为74LS160从100 1变化到0000时,将在进位输出端产生一个进位脉冲,所以我们保留了0000和1001这两个状态后,我们就可以利用74LS160的进位输出端作为六进制计数器的进位输出端了。于是,六进制计数器的状态循环可以是0000、0001、0010、0011、0100和1001,也可以是0000、0101、0110、0111、1000和1001。我们不妨采用0000、0001、0010、0011、0100和1001这六个状态。 如何让74LS160从0100状态跳到1001状态呢?我们用一个混合逻辑与非门构成一个译码器[图5. 3.37b],当74LS160的状态为0100时,与非门输出低电平,这个低电平使74LS160工作在预置数状态,当下一个时钟脉冲到来时,由于等于1001,74LS160就会预置成1001,从而我们实现了状态跳跃。

计数器原理分析及应用实例

计数器原理分析及应用实例 除了计数功能外,计数器产品还有一些附加功能,如异步复位、预置数(注意,有同步预置数和异步预置数两种。前者受时钟脉冲控制,后者不受时钟脉冲控制)、保持(注意,有保持进位和不保持进位两种)。虽然计数器产品一般只有二进制和十进制两种,有了这些附加功能,我们就可以方便地用我们可以得到的计数器来构成任意进制的计数器。下面我们举两个例子。在这两个例子中,我们分别用同步十进制加法计数器74LS160构成一个六进制计数器和一个一百进制计数器。 因为六进制计数器的有效状态有六个,而十进制计数器的有效状态有十个,所以用十进制计数器构成六进制计数器时,我们只需保留十进制计数器的六个状态即可。74LS160的十个有效状态是BCD编码的,即0000、0001、0010、0011、0100、0101、0110、0111、1000、1001[图5-1]。 图5-1 我们保留哪六个状态呢?理论上,我们保留哪六个状态都行。然而,为了使电路最简单,保留哪六个状态还是有一点讲究的。一般情况下,我们总是保留0000和1001两个状态。因为74LS160从1001变化到0000时,将在进位输出端产生一个进位脉冲,所以我们保留了0000和1001这两个状态后,我们就可以利用74LS160的进位输出端作为六进制计数器的进位输出端了。于是,六进制计数器的状态循环可以是0000、0001、0010、0011、0100和1001,也可以是0000、0101、0110、0111、1000和1001。我们不妨采用0000、0001、0010、0011、0100

和1001这六个状态。 如何让74LS160从0100状态跳到1001状态呢?我们用一个混合逻辑与非门构成一个译码器[图5.3.37b],当74LS160的状态为0100时,与非门输出低电平,这个低电平使74LS160工作在预置数状态,当下一个时钟脉冲到来时,由于等于1001,74LS160就会预置成1001,从而我们实现了状态跳跃。 图5.3.37b用置数法将74160接成六进制计数器(置入1001) 比这个方案稍微繁琐一点的是利用74LS160的异步复位端。下面这个电路中[图5.3.34],也有一个由混合逻辑与非门构成的译码器。 图5.3.34用置零法将74LS160接成六进制计数器

计数器在实际生活中的应用

计数器在实际生活中的应用 华中科技大学文华学院10环境工程2班 100205021126 黄丹 【关键词】计数器生活应用发展 【内容摘要】计数器除了计数功能外,计数器产品还有一些附加功能,可以方便地用我们可以得到的计数器来构成任意进制的计数器。智能计数器是未来计数器发展的方向。 计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。 如果按照计数器中的触发器是否同时翻转分类,可将计数器分为同步计数器和异步计数器两种。如果按照计数过程中数字增减分类,又可将计数器分为加法计数器、减法计数器和可逆计数器,随时钟信号不断增加的为加法计数器,不断减少的为减法计数器,可增可减的叫做可逆计数器。另外还有很多种分类方法。 计数器除了计数功能外,计数器产品还有一些附加功能,如异步复位、预置数(有同步预置数和异步预置数两种。前者受时钟脉冲控制,后者不受时钟脉冲控制)、保持(有保持进位和不保持进位两种)。虽然计数器产品一般只有二进制和十进制两种,有了这些附加功能,我们就可以方便地用我们可以得到的计数器来构成任意进制的计数器。 计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。计数器可以用来显示产品的工作状态,一般来说主要是用来表示产品已经完成了多少份的折页配页工作。它主要的指标在于计数器的位数,常见的有3位和4位的。很显然,3位数的计

单片机原理课后习题整理

第1章思考题及习题1参考答案 一、填空 1. 除了单片机这一名称之外,单片机还可称为或。答:微控制器,嵌入式 控制器. 3. AT89S52单片机工作频率上限为 MHz。答:33 MHz。 三、判断对错 1. STC系列单片机是8051内核的单片机。对 2. AT89S52与AT89S51相比,片内多出了4KB的Flash程序存储器、128B的RAM、1个中断 源、1个定时器(且具有捕捉功能)。对 3. 单片机是一种CPU。错 4. AT89S52单片机是微处理器。错 5. AT89C52片内的Flash程序存储器可在线写入,而AT89S52则不能。错 6. 为AT89C51单片机设计的应用系统板,可将芯片AT89C51直接用芯片AT89S51替换。对 7. 为AT89S51单片机设计的应用系统板,可将芯片AT89S51直接用芯片AT89S52替换。对 8. 单片机的功能侧重于测量和控制,而复杂的数字信号处理运算及高速的测控功能则是DSP 的长处。对 四、简答 4. 解释什么是单片机的在系统编程(ISP)与在线应用编程(IAP)。 答:单片机的在系统编程ISP(In System Program),也称在线编程,只需一条与PC机USB口或串口相连的ISP下载线,就可把仿真调试通过的程序代码从PC机在线写入单片机的Flash存储器内,省去了编程器。在线应用编程(IAP)就是可将单片机的闪存内的应用程序在线修改升级。

第2章思考题及习题2参考答案 一、填空 1. 在AT89S52单片机中,如果采用6MHz晶振,一个机器周期为。答:2μs 2. AT89S52单片机的机器周期等于个时钟振荡周期。答:12 9. AT89S52单片机程序存储器的寻址范围是由程序计数器PC的位数所决定的,因为AT89S52单片机的PC是16位的,因此其寻址的范围为 KB。答:64 10. AT89S52单片机复位时,P0~P3口的各引脚为电平。答:高 11. AT89S52单片机使用片外振荡器作为时钟信号时,引脚XTAL1接,引脚XTAL2的接法是。答:片外振荡器的输出信号,悬空 二、判断对错 1. 使用AT89S52单片机且引脚EA=1时,仍可外扩64KB的程序存储器。错 2. 区分片外程序存储器和片外数据存储器的最可靠的方法是看其位于地址范围的低端还是高端。错 3. AT89S52单片机共有32个特殊功能寄存器,它们的位都是可以用软件设置的,因此,都

实验八程序计数器PC 实验

实验八程序计数器PC 实验 【实验要求】 利用CP226实验箱上的K16…K23 开关做为DBUS 数据的输入端,其它开关做为控制信号的输入端,实现程序计数器PC预置与加1功能。 【实验目的】 掌握模型机中程序计数器PC的功能及其功能实现的工作原理与控制方法,程序执行过程中顺序和跳转的实现。 【主要集成电路芯片及其逻辑功能】 1. 计数器74HC161 本实验所涉及的主要集成电路芯片之一为74HC161,用于实现程序计数器PC预置与加1功能。74HC161是四位二进制可预置同步加法计数器,芯片包含一条时钟输入线CP、四条数据输入线(P0~P3)、一条清零信号线MR、二条使能信号线CEP和CET、一条预置信号线PE、四条数据输出线(Q0~Q3)、一条进位输出TC(TC= Q0·Q1·Q2·Q3·CET)。74HC161引脚结构如下图所示,其功能逻辑如下表所示。 2. 数据选择器74HC151 本实验所涉及的主要集成电路芯片之二为74HC151,用于指令执行过程中形成跳转条件。74HC151为互补输出的8选1数据选择器,芯片包含三条选择控制线(地址端,S0、S1、S2)、

8 条数据输入线(I0~I7)、二条互反输出线(Z 、~Z)、二条使能信号线E 。74HC161引脚结构如下图所示,其功能逻辑如下表所示。 【实验涉及的逻辑电路及原理】 1. 程序计数器PC 程序计数器PC 是由两片74HC161构成的八位带预置计数器,预置数据来自于数据总线。PC 输出可以通过由PCOE(低电平有效)控制的74HC245送到地址总线,还可以通过由PCOE_D (低电平有效)控制的另一片74HC245送回到数据总线。程序计数器PC 实验原理逻辑电路如下图所示,其中PC+1、LDPC 、RST 、PCOE_D 、PCOE 分别为计数器使能、计数器预置、计数器清0、数据总线收发器使能、地址总线收发器使能控制信号,CK 为脉冲信号。在CPP226实验箱中,PC+1由PCOE 取反产生,LDPC 由指令执行过程中形成跳转条件逻辑电路形成。 当LDPC=0时,在CK 的上升沿,预置数据被打入程序计数器PC 。 当PC+1=1时,在CK 的上升沿,程序计数器PC 加1 D 7 D 7 1 1 1 D 6 D 6 0 1 1 0 D 5 D 5 1 0 1 0 D 4 D 4 0 0 1 0 D 3 D 3 1 1 0 0 D 2 D 2 0 1 0 0 D 1 D 1 1 0 0 0 D 0 D 0 0 0 0 0 1 0 × × × 1 W Y A 0(A) A 1(B) A 2(C) S

计数器及其应用

计数器的应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数器构成1/N分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器、十进制计数器和任意进制计数器。根据计数器的增减趋势,又分为加法、减法和可逆计数器。还有可预制数和可变程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。 1、用D触发器构成异步二进制加/减计数器 图7—1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T触发器,在由低位触发器的Q端和高一位的CP端相连接。 若将图7—1稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。

2、中规模同步集成计数器 同步集成计数器基本类型见表7-1。 表7-1 同步计数器芯片型号和功能 ⑴同步4位二进制计数器 74LS161的功能见表7-2,74LS163的功能见表7-3,引脚图见图7-2。LD 为置数控制端,CLR 为置0控制端, D 0~D 3为并行数据输入端,Q 0~Q 3为输出端,CO 为进位输出端。 ⑵4位十进制同步计数器 74LS160的功能见表7-4,引脚图见图7-2。74LS162的功能见表7-5,引脚图见图7-2。 表7-2 74LS161的功能表 输 入 输 出 CP LD CLR EP ET Q × × 0 × × 全“L ” ↑ 0 1 × × 预置数据 ↑ 1 1 1 1 计数 × 1 1 0 × 保持 × 1 1 × 保持 型号 功能 型号 功能 74LS161 4位十进制同步计数器(异步 清除) 74LS190 4位十进制加/减同步计数器 74LS163 4位二进制同步计数器(异步 清除) 74LS191 4位二进制加/减同步计数器 74LS160 4位十进制同步计数器(同步 清除) 74LS192 4位十进制加/减同步计数器(双时钟) 74LS162 4位二进制同步计数器(同步 清除) 74LS193 4位二进制加/减同步计数器(双时钟)

计算机组成原理实验报告4-微程序计数器uPC实验

2.4 微程序计数器uPC实验 姓名:孙坚学号:134173733 班级:13计算机日期:2015.5.15 一.实验要求:利用CPTH实验仪上的K16..K23 开关做为DBUS的数据,其它开关做为控制信号,实现微程序计数器uPC的写入和加1功能。 二.实验目的:1、了解模型机中微程序的基本概念。 2、了解uPC的结构、工作原理及其控制方法。 三.实验电路:74HC161 是一片带预置的4 位二进制记数器。功能如下:当RST = 0 时,记数器被清0 当IREN = 0 时,在CK的上升沿,预置数据被打入记数器 当IREN = 1 时,在CK的上升沿,记数器加一 TC为进位,当记数到F(1111)时,TC=1 CEP,CET 为记数使能,当CEP,CET=1 时,记数器工作,CEP,CET=0 时,记数器保持原记数值 uPC原理图

uPC工作波形图 在CPTH 中,指令IBUS[7:0]的高六位被接到uPC 预置的高六位,uPC 预置的低两位被置为0。一条指令最多可有四条微指令。 微程序初始地址为复位地址00,微程序入口地址由指令码产生,微程序下一地址有计数器产生。 连接线表 四.实验数据及步骤: 实验1:uPC 加一实验 置控制信号为: 按一次STEP脉冲键,CK产生一个上升沿,数据uPC 被加一。 实验2:uPC 打入实验 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据12H

置控制信号为: 当EMWR,EMEN=0时,数据总线(DBUS)上的数据被送到指令总线(IBUS)上。 按住STEP脉冲键,CK由高变低,这时寄存器uPC的黄色预置指示灯亮,表明uPC被预置。放开STEP键,CK由低变高,产生一个上升沿,数据10H被写入uPC寄存器。 五.心得体会: 通过这次实验,我们更好的掌握了微程序计数器uPC的结构,工作原理和控制方法。

程序存储器 指令寄存器 程序计数器(PC,IP) 地址寄存器的区别与联系

先明白定义再说区别和原理: 1、程序存储器(program storage) 在计算机的主存储器中专门用来存放程序、子程序的一个区域。 2、指令寄存器(IR ):用来保存当前正在执行的一条指令。当执行一条指令时,先把它从内存取到数据寄存器(DR)中,然后再传送至IR。指令划分为操作码和地址码字段,由二进制数字组成。为了执行任何给定的指令,必须对操作码进行测试,以便识别所要求的操作。指令译码器就是做这项工作的。指令寄存器中操作码字段的输出就是指令译码器的输入。操作码一经译码后,即可向操作控制器发出具体操作的特定信号。 3、程序计数器(PC):为了保证程序(在操作系统中理解为进程)能够连续地执行下去,CPU必须具有某些手段来确定下一条指令的地址。而程序计数器正是起到这种作用,所以通常又称为指令计数器。在程序开始执行前,必须将它的起始地址,即程序的一条指令所在的内存单元地址送入PC,因此程序计数器

(PC)的内容即是从内存提取的第一条指令的地址。当执行指令时,CPU将自动修改PC的内容,即每执行一条指令PC增加一个量,这个量等于指令所含的字节数,以便使其保持的总是将要执行的下一条指令的地址。由于大多数指令都是按顺序来执行的,所以修改的过程通常只是简单的对PC加1。 当程序转移时,转移指令执行的最终结果就是要改变PC的值,此PC值就是转去的地址,以此实现转移。有些机器中也称PC为指令指针IP(Instruction Pointer) 4、地址寄存器:用来保存当前CPU所访问的内存单元的地址。由于在内存和CPU之间存在着操作速度上的差别,所以必须使用地址寄存器来保持地址信息,直到内存的读/写操作完成为止。 当CPU和内存进行信息交换,即CPU向内存存/ 取数据时,或者CPU从内存中读出指令时,都要使用地址寄存器和数据缓冲寄存器。同样,如果我们把外围设备的设备地址作为像内存的地址单元那样来看待,那么,当CPU和外围设备交换信息时,我们同样使用地址寄存器和数据缓冲寄存器。

全吸收型电子光子簇射计数器的工作原理

全吸收型电子光子簇射计数器通常包括:碘化钠晶体组成的闪烁谱仪和铅玻璃切伦科夫计数器。碘化钠晶体(辐射长度λo=2.6cm,临界能量 Ec=12.5MeV)和铅玻璃(例如含有53%氧化铅的透明玻璃,λo=2.84cm,Ec=17.3MeV;折射率n≈1.65)都能有效地引起电子光子级联簇射,它们既是簇射介质,又是对带电粒子灵敏的探测元件。 簇射产生的次级粒子(正负电子)在碘化钠晶体中沉积能量,晶体又把沉积的能量成比例地转换成闪烁荧光,经光电倍增管转换成与能量成正比的电荷量输出。在铅玻璃中簇射产生的正负电子,当它们的速度超过切伦科夫阈速度(见切伦科夫辐射)──相应电子动能Ek》150keV 时,正负电子将产生切伦科夫光,光的产额和超过阈速度的次级正负电子的径迹长度成正比。切伦科夫光由光电倍增管成比例地转换成电荷输出。 因此,在一定测量精度范围内,输出电荷量和次级正负电子的径迹总长度成正比,即和入射电子或光子的总能量成正比。全吸收型电子光子簇射计数器通常做成积木式结构。每块晶体(或铅玻璃)由独立的光电倍增管来收集光,各光电倍增管输出电荷量的总和正比于入射高能电子或光子的能量。 能量沉积在各单元的分配代表了簇射次级粒子数目的横向(与入射粒子方向垂直的平面内)分布,分析各单元输出电荷量的分布重心,就能确定入射电子或光子的空间方位。 艾驰商城是国内最专业的MRO工业品网购平台,正品现货、优势价格、迅捷配送,是一站式采购的工业品商城!具有 10年工业用品电子商务领域研究,以强大的信息通道建设的优势,以及依托线下贸易交易市场在工业用品行业上游供应链的整合能力,为广大的用户提供了传感器、图尔克传感器、变频器、断路器、继电器、PLC、工控机、仪器仪表、气缸、五金工具、伺服电机、劳保用品等一系列自动化的工控产品。 如需进一步了解相关仪器仪表产品的选型,报价,采购,参数,图片,批发等信息,请关注艾驰商城https://www.wendangku.net/doc/189295172.html,。

计数器工作原理的模式化分析

计数器工作原理的模式化分析 时序逻辑电路是《脉冲与数字电路》这门课程的重要组成部分,计数器是时序逻辑电路基础知识的实际应用,其应用领域非常广泛。计数器原理是技工学校电工电子专业学生必须重点掌握的内容,也是本课程的考核重点,更是设计计数器或其他电子器件的基础。 但近年来技校学生的文化理论基础和理解能力普遍较差,按照教材体系讲授计数器这个章节的知识,超过70%的学生听不懂。 我先后为四届学生讲授过这门课,在教学实践中摸索出一套分析计数器的方法——模式化分析,即把分析步骤模式化,引导学生按部就班地分析计数器。用这种方法分析,我只要以其中一种计数器(如异步二进制计数器)为例讲解,学生便可以自行分析其他计数器。 教学实践证明,用这种方法讲授计数器知识,学生比较感兴趣,觉得条理清晰,易于理解,掌握起来比较轻松。这种方法还有一个好处,不管是同步计数器还是异步计数器,不管是二进制计数器还是十进制计数器,不管是简单的计数器还是复杂的计数器,只要套用这种方法,计数器工作原理迎刃而解。即使是平时基础很差的学生,只要记住几个步骤,依葫芦画瓢,也能把计数器原理分析出个大概来。 一、明确计数器概念 分析计数器当然要先清楚什么是计数器啦。书上的概念是:计数器是数字系统中能累计输入脉冲个数的数字电路。我告诉学生,计数器就是这

样一种电子设备:把它放在教室门口,每个进入教室的同学都在一个按钮上按一下,它就能告诉你一共有多少位同学进入教室。其中,每个同学按一下按钮就是给这个设备一个输入信号,N个同学就给了N个信号,这N 个信号就构成计数器的输入CP脉冲,计数器要统计的就是这个CP脉冲系列的个数。当然,如果没有接译码器,计数器的输出端显示的是二进制数而非十进制数,比如有9位同学进入教室,它不显示“9”,而是显示“1001”。 随后,我简要介绍了计数器的构成和分类,并强调,计数器工作前必须先复位,即每个触发器的输出端均置零。 二、回顾基础知识 分析计数器要用到触发器的相关知识,其中JK触发器最常用,偶尔用到T触发器和D触发器。因此,介绍完计数器概念后,我不急于教学生分析其原理,而是先提问JK、T、D触发器的相关知识,包括触发器的逻辑符号、特性方程、特性表等。 由于计数器的控制单元由逻辑门电路构成,分析前还要简要回顾一下与、或、非等常用逻辑门电路的相关知识。另外,用模式化方法分析计数器还要用到逻辑代数的运算方法、逻辑函数的化简方法等相关知识。 三、画出解题模板 准备工作做完了,下面进入核心部分——列出分析计数器的9个步骤: 1.驱动方程(即触发器输入端的表达式,注意要化成最简式) 2.特性方程(即触发器的特性方程,计数器有几个触发器就写出几个 特性方程) 3.状态方程(把1代入2后得到的方程,注意要化成最简式)

闪烁计数器工作原理及应用

闪烁计数器的工作原理 闪烁计数器是一种利用射线引起闪烁体的发光而进行记录的辐射探测器。1947年由J.W. 科尔特曼和H.P.卡尔曼所发明。它由闪烁体、光电倍增管(见光电管)和电子仪器等单元组成。 它是由闪烁体(也称荧光体)和光电倍增管构成。常用的闪烁体有NaI(TI)[铊激活]、ZnS(Ag)和有机晶体“蒽”等,它们在射线照射下会发光(闪烁)。它的工作原理是:射线在闪烁体中产生的光子,打到光电倍增管的阴极上产生光电子,光电子的电子流通过倍增管放大并被阳极接收,形成了一个电脉冲,再由仪器的其他部件加以放大记录。碘化钠晶体常用来测量γ射线,硫化锌晶体常用来测量α射线。闪烁计数器的优点是,效率高、记录快,可以测定射线的能量。 闪烁计数器的应用 射线同闪烁体相互作用,使其中的原子、分子电离或激发,被激发的原子、分子退激时发出微弱荧光(见固体发光),荧光被收集到光电倍增管,倍增的电子流形成电压脉冲,由电子仪器放大分析和记录。利用这种现象可探测带电粒子。可用的闪烁体种类很多,用得较多的有NaI(加微量Tl)、CSI(加微量Tl)、ZnS(加微量Ag )等无机盐晶体和蒽、茋、对联三苯等有机晶体,也有用液体、塑料或气体的闪烁体。闪烁计数器的优点是效率高,有很好的时间分辨率和空间分辨率,时间分辨率达10^-9秒,空间分辨率达毫米量级。它不仅能探测各种带电粒子,还能探测各种不带电的核辐射;不仅能探测核辐射是否存在,还能鉴别它们的性质和种类;不但能计数,还能根据脉冲幅度确定辐射粒子的能量。在核物理和粒子物理实验中应用十分广泛。 艾驰商城是国内最专业的MRO工业品网购平台,正品现货、优势价格、迅捷配送,是一站式采购的工业品商城!具有10年工业用品电子商务领域研究,以强大的信息通道建设的优势,以及依托线下贸易交易市场在工业用品行业上游供应链的整合能力,为广大的用户提供了传感器、图尔克传感器、变频器、断路器、继电器、PLC、工控机、仪器仪表、气缸、五金工具、伺服电机、劳保用品等一系列自动化的工控产品。 如需进一步了解相关仪器仪表产品的选型,报价,采购,参数,图片,批发等信息,请关注艾驰商城https://www.wendangku.net/doc/189295172.html,/

光子计数器原理

光子计数器原理 现代光测量技术已步入极微弱发光分析时代。在诸如生物微弱发光分析、化学发光分析、发光免疫分析等领域中,辐射光强度极其微弱,要求对所辐射的光子数进行计数检测。对于一个具有一定光强的光源,若用光电倍增管接收它的光强,如果光源的输出功率及其微弱,相当于每秒钟光源在光电倍增管接收方向发射数百个光子的程度,那么,光电倍增管输出就呈现一系列分立的尖脉冲,脉冲的平均速率与光强成正比,在一定的时间内对光脉冲计数,便可检测到光子流的强度,这种测量光强的方法称为光子计数。 光子计数器是主要由光电倍增管、电源、放大系统、光源组成。 1.电倍增管的工作原理 光电倍增管是一个由光阴极、阳极和多个倍增极(亦称打拿极)构成的特殊电子管。它的前窗对工作在可见光区及近紫外区的用紫外玻璃:而在远紫外区则必须使用石英。 (1)光阴极:光阴极的作用是将光信号转变成电信号,当外来光子照射光阴极时,光阴极便可以产生光电子。产生电子的多少与照射光的波长及强度有关。当照射光的波长一定时,光阴极产生光电流的强度正比于照射光的强度,这是光电倍增管测定光强度的基础。各种不同的光电倍增管具有不同的光谱灵敏度。目前很少用单一元素制作光阴极,常用的有AgOCs、Cs3Sb、BiAgOCs、Na2KSb、K2CsSb等由多元素组成的光阴极材料。 (2)倍增极:倍增极也称打拿极,所用的材料与阴极相同。倍增极的作用实质上是放大电流,即在受到前一级发出的电子的打击后能放出更多的次级电子。普通光电倍增管中倍增极的数目,一般为11个,有的可达到20个。倍增极数目越大,倍增极间的电位降越大,PMT的放大作用越强。

(3)阳极:大部分由金属网做成,置于最后一级打拿级附近,其作用是接受最后一个倍增极发出的电子。但接受后,不象倍增极那样再射出电子,而是通导线以电流的形式输出。 光电倍增管的工作原理如图1所示,在光电倍增管的阴极和阳极间加一高电压,且阳极接地,阴极接在高压电源的负端。另外,在阳极和阴极之间串接一定数目的固定电阻,这样在每个倍增级上都产生一定的电位降(一般为50V到90V),使阴极最负(图中假定为·400V),每一倍增极-300V,顺次增高,至阳极时为 Jf0”V。当一束光线照射阴极时,假设产生一个光电子,这个光电子在电场的作用下,向第一倍增极射去。由于第一倍增极的电位比光阴极要正100V,所以电子在此期间会被加速。当其撞击第一倍增极时,会溅射出数目更多的二次电子(图中假定为2个)。依此类推,电子数目越来越多。目前,一般光电倍增管的电子数总增益G约为106,有的甚至高达108~101~,由于其放大作用很强,所以适用于微弱光信号的测量。这里 G=dN (1) 式中d是每一个入射光电子能打出的二次电子的平均数,叫做二次发射系数。此二次发射系数与倍增级材料及倍增极间的电位降有关,式中n为倍增极的数目。

cd4017计数器的工作原理

cd4017工作原理及应用电路图 CD4017功能简述: CD4017是5位Johnson计算器,具有10个译码输出端,CP,CR,INH输入端。时钟输入端的斯密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制。INH为低电平时,计算器在时钟上升沿计数;反之,计数功能无效。CR为高电平时,计数器清零。Johnson计数器,提供了快速操作,2输入译码选通和无毛刺译码输出。防锁选通,保证了正确的计数顺序。译码输出一般为低电平,只有在对应时钟周期内保持高电平。在每10个时钟输入周期CO信号完成一次进位,并用作多级计数链的下级脉动时钟。 CD4017逻辑结构图: CD4017 Logic Diagram 逻辑图

CD4017的引脚图 CD4017引脚功能: C D4017内部是除10的计数器及二进制对10进制译码电路。CD4017有16支脚,除电源脚VDD及VSS为电源接脚,输入电压范围为3–15V之外,其余接脚为: A、频率输入脚:CLOCK(Pin14),为频率信号的输入脚。 B、数据输出脚: a、Q1-Q9(Pin3,2,4,7,10,1,5,6,9,11),为解码后的时进制输出接脚,被计数到的值,其输出为Hi,其余为Lo 电位。 b、CARRY OUT(Pin12),进位脚,当4017计数10个脉冲之后,CARRY OUT 将输出一个脉波,代表产生进位,共串级计数器使用。 D、控制脚: a、CLEAR(Pin15):清除脚或称复位(Reset)脚,当此脚为Hi时,会使CD4017的Q0为”1”,其余Q1-Q9为”0”。 b、CLOCK ENABLE(Pin13),时序允许脚,当此脚为低电位,CLOCK输入脉波在正缘时,会使CD4017计数,并改变Q1-Q9的输出状态。

计数器设计和原理

二.计数器设计 1.实验目的 计数器在数字逻辑设计中的应用十分广泛,可以对时钟信号进行计数,分频和产生序列信号,也可以用在计时器和串并转换等电路。这次实验我们就来学习一下如何用Robei和Verilog语言来设计一个4比特计数器。 2.实验要求 计数器对每个时钟脉冲进行技术,并将计数值输出出来。这个实验我们来设计一个4比特的计数器,其技术范围在0~F之间,也就是计数到最大值16. 设计波形要求如图1所示。 图1. 计数器输出波形要求 3.实验内容 3.1 模型设计 1)新建一个模型。点击工具栏上的图标,或者点击菜单“File”然后在下 拉菜单中选择“New”,会有一个对话框弹出来(如图2所示)。在弹出的对话框中设置你所设计的模型。

图2. 新建一个项目 参数填写完成后点击“OK”按钮,Robei就会生成一个新的模块,名字就是counter,如图3所示: 图3. 计数器界面图 2)修改模型。在自动生成的界面图上进行名称的修改,输入引脚为clock, enable 和reset,输出引脚修改成count。其中count引脚的“Datasize”为4比特,用户可以输入4,也可以输入3:0。为了区分每个引脚,我们可以修改每个引脚的Color值,并点回车保存。修改完成后如图4所示。如果选中模块,按“F1”键,就会自动生成一个Datasheet,如图5所示。

图4. 修改引脚属性 图5. “Datasheet”截图 3)输入算法。点击模型下方的Code(如图6所示)进入代码设计区。

图6. 点击Code输入算法 在代码设计区内输入以下Verilog代码: always @ (posedge clock) //学习always语句的写法,并设置敏感信号。时钟上升沿触发begin //学习Verilog if else语句的写法 if (reset == 1) begin count<= 0; end //if enable is 1, counter starts to count else if (enable == 1) begin count <= count + 1; end end 4)保存。点击工具栏图标,或者点击菜单“File”中的下拉菜单“Saveas”, 将模型另存到一个文件夹中。 5)运行。在工具栏点击或者点击菜单“Build”的下来菜单“Run”,执 行代码检查。如果有错误,会在输出窗口中显示。如果没有错误提示,恭喜,模型counter设计完成。 3.2测试文件设计

定时器工作原理

定时器工作原理 通电延时型。只要在定时的时间段内(即1分钟)定时器一直得电,则常开触电就会闭合,只要定时器不断电常开触电就会一直闭合。定时器断电则常开触电断开 1,定时器/计数器的结构与功能 主要介绍定时器0(T0)和定时器1(T1)的结构与功能。图6.1是定时器/计数器的结构框图。由图可知,定时器/计数器由定时器0、定时器1、定时器方式寄存器TMOD和定时器控制寄存器TCON组成。 定时器0,定时器1是16位加法计数器,分别由两个8位专用寄存器组成:定时器0由TH0和TL0组成,定时器1由TH1和TL1组成。 图6.1 定时器/计数器结构框图 TL0、TL1、TH0、TH1的访问地址依次为8AH~8DH,每个寄存器均可单独访问。定时器0或定时器1用作计数器时,对芯片引脚T0(P3.4)或T1(P3.5)上输入的脉冲计数,每输入一个脉冲,加法计数器加1;其用作定时器时,对内部机器周期脉冲计数,由于机器周期是定值,故计数值确定时,时间也随之确定。 TMOD、TCON与定时器0、定时器1间通过内部总线及逻辑电路连接,TMOD 用于设置定时器的工作方式,TCON用于控制定时器的启动与停止。 6.1.1 计数功能 计数方式时,T的功能是计来自T0(P3.4)T1(P3.5)的外部脉冲信号的个数。 输入脉冲由1变0的下降沿时,计数器的值增加1直到回零产生溢出中断,表示计数已达预期个数。外部输入信号的下降沿将触发计数,识别一个从“1”到“0”的跳变需2个机器周期,所以,对外部输入信号最高的计数速率是晶振频率的1/24。若晶振频率为6MHz,则计数脉冲频率应低于1/4MHz。当计数器满后,再来一个计数脉冲,计数器全部回0,这就是溢出。 脉冲的计数长度与计数器预先装入的初值有关。初值越大,计数长度越小;初值越小,计数长度越大。最大计数长度为65536(216)个脉冲(初值为0)。 6.1.2 定时方式 定时方式时,T记录单片机内部振荡器输出的脉冲(机器周期信号)个数。 每一个机器周期使T0或T1的计数器增加1,直至计满回零自动产生溢出中断请求。 定时器的定时时间不仅与定时器的初值有关,而且还与系统的时钟频率有关。在机器周期一定的情况下,初值越大,定时时间越短;初值越小,定时时间越长。最长的定时时间为65536(216)个机器周期(初值为0)。

相关文档