文档库 最新最全的文档下载
当前位置:文档库 › 第一次机组实验报告吴牧云

第一次机组实验报告吴牧云

南昌航空大学实验报告

2015年05月17日

课题名称: 计算机组成原理 实验名称: EDA 入门

班级: 130451 姓名: 吴牧云 学号: 13045133 指导教师评定: 签名:

一、 实验目的

1. 了解并初步掌握使用QuartusII 软件集成开发工具进行专用硬件电路设计的工作过程。

2. 了解并初步掌握使用硬件描述语言表达电路功能设计。

3. 了解并初步掌握使用时序仿真方法验证电路逻辑功能。

4. 了解并初步掌握使用GW48试验台对设计电路进行硬件下载配置和测试。

二、实验步骤与内容

1.实验原理及方案

本实验通过QuartusII 软件,编程设计硬件电路功能,在一片EPGA (型号EP1C3T144C8)可编程芯片上,制作成一块具有“二选一多路选择器”功能的专用电路器件。

2.设计目标实体:

“2选1多路选择器”电路原理图及逻辑图表达式如下:

该电路可用硬件描述语言VHDL 表达如下:

entity mux21a is

port(a,b,s:in BIT;

y:out BIT);

end entity mux21a;

architecture one of mux21a is

begin

process(a,b,s)

begin

if s = '0' then y <= a;

else y <= b; b

s

a

y MUX21A

end if;

end process;

end architecture one;

3.实验步骤:

第一阶段:建立工程,输入设计文件

1.建立实验项目工作文件夹

2.打开Quartus II 软件,点击file -> new 新建设计文件

3.选Device Design Files 选项卡,在所列文件类型中选择VHDL file

4.输入VHDL程序

5.通过依次选择菜单File -> Save as 保存程序文件,文件名与实体名相同(必须)选择本实验采用的芯片,系列是Cyclone,型号为EP1C6Q240C8,速度等级是8。注意:芯片必须选择正确,否则将会在下载阶段出现问题。

第二阶段:编译

通过点击Processing下拉菜单,选Start Compilation 菜单项启动编译。

第三阶段:时序仿真

时序仿真操作时,依次选FILE -> new -> Other Flies -> Vector Waveform File 新建时序激励波形文件

1.通过点击Edit下拉菜单,将仿真结束时间END TIME 设置为10us。

2.选择节点a:点击OVERWRITRE CLOCK 设置周期为0.5us 占空比为50%。

3.选择节点b:点击OVERWRITRE CLOCK 设置周期为1us 占空比为50%。

5.选择节点s:按住鼠标左键在时间轴上选择一段拖动变为蓝色,点为高电平。

6.通过Processing -> Start Simulation 启动仿真,结果如下:

由仿真波形可以看出a,b波已经被区分出来。

第四:引脚锁定

引脚锁定操作时,依次选Assignments -> Assignment Editor菜单选项

1、点击右上角的pin按钮,或在Category栏中选pin,进入引脚锁定设置。

2、双击TO列下方的<>处,从下拉列表中选择端口信号名。

3、在对应端口名所在行,双击Location 列的空白处,从下拉列表中选择引脚号。

第五步:编程下载和测试

编程下载前将计算机与试验台配置连接妥当。

1、通过实验系统所配的电缆与计算机打印机并行口连接。

2、实验板上,用10芯电缆连接出版上BetyBlaster(MV)插口与编程适配板的JTAG插口。

3、通过短路帽选择CLOCK0接1024Hz,CLOCK5接256Hz。

4、选择电路模式5。其他保持默认设置。

通过点击选择Tool -> Programmer 菜单项。选择编程模式Mode为JTAG编程器,选择设置ByteBlaster[LPT1],在下载文件左侧第一选择框Program/Configure处打勾,点击Start 开始下载。

下载编程完成后,按动按键1,扬声器发出不同音调。

三、实验小结:

因为第一次上机进行实验,感到非常困难,但经过一段时间的摸索和老师的讲解,逐渐掌握了窍门。在实验过程中进行了程序的修改和线路接口的连接,基本掌握了软件的使用,在实验中,我发现成功完成实验需要极大的耐心和仔细。在以后的实验中会

努力加深对软件的使用熟练度。

相关文档
相关文档 最新文档