文档库 最新最全的文档下载
当前位置:文档库 › 多路模拟开关的选择

多路模拟开关的选择

多路模拟开关的选择
多路模拟开关的选择

今天做电路研究的时候要用到多路数据选择器,多路开关。和开发部的头讨论了下,才发现里面有很多东西要学,这里就贴出来一些心得分享一下,一下的内容也有从别处摘来的一部分。选择开关时需考察以下指标:

1 多路开关通断方式的选择

目前市场上的多路开关的通断切换方式大多为“先断后通”

(Break-Before-Make)。在自动数据采集中,应选用“先断后通”的多路开关。否则,就会发生两个通道短接的现象,严重时会损坏信号源或多路开关自身。然而,在程控增益放大器中,若用多路开关来改变集成运算放大器的反馈电阻,以改变放大器的增益,就不宜选用“先断后通”的多路开关。否则,放大器就会出现开环状态。放大器的开环增益极高,易破坏电路的正常工作,甚至损坏元器件,一般应予避免。

2. 通道数量

集成模拟开关通常包括多个通道。通道数量对传输信号的精度和开关切换速率有直接的影响,通道数越多,寄生电容和泄漏电流就越大。因为当选通一路时,其它阻断的通道并不是完全断开,而是处于高阻状态,会对导通通道产生泄漏电流,通道越多,漏电流越大,通道之间的干扰也越强。

3. 泄漏电流

一个理想的开关要求导通时电阻为零,断开时电阻趋于无限大,漏电流为零。而实际开关断开时为高阻状态,漏电流不为零,常规的CMOS漏电流约1nA。如果信号源内阻很高,传输信号是电流量,就特别需要考虑模拟开关的泄漏电流,一般希望泄漏电流越小越好。

4. 导通电阻

导通电阻的平坦度与导通电阻一致性。导通电阻会损失信号,使精度降低,尤其是当开关串联的负载为低阻抗时损失更大。应用中应根据实际情况选择导通电阻足够低的开关。必须注意,导通电阻的值与电源电压有直接关系,通常电源电压越大,导通电阻就越小,而且导通电阻和泄漏电流是矛盾的。要求导通电阻小,则应扩大沟道,结果会使泄漏电流增大。导通电阻随输入电压的变化会产生波动,导通电阻平坦度是指在限定的输入电压范围内,导通电阻的最大起伏值△RON=△RONMAX—△RONMIN。它表明导通电阻的平坦程度,△RON应该越小越好。

导通电阻一致性代表各通道导通电阻的差值,导通电阻的一致性越好,系统在采集各路信号时由开关引起的误差也就越小。多路开关的导通电阻RON(一般为数10Ω至1kΩ左右)比机械开关的接触电阻(一般为mΩ量级)大得多,对自动数据采集的信号传输精度或程控制增益放大的增益影响较明显,而且RON通道随电源电压高低、传输信号的幅度等的变化而变化,因而其影响难以进行后期修正。实践中一般是设法减小RON来降低其影响。以CD4051为例,测试发现:CD4051的RON随电源电压和输入模拟电压的变化而变化。当VDD=5V、VEE=0V时,

RON=280Ω,且随V1的变化突变;当VDD>10V、VEE=0V时,RON=100Ω,且随V1的变化缓变。可见,适当提高CD4051的VDD有利于减小RON的影响。必须注意:提高VDD的同时,应相应提高选通控制端A、B、C的输入逻辑电平。

可见,根据具体情况,适当提高多路开关的电源电压,是降低其RON影响的一种有效措施。此外,适当提高电源电压,还可以同时减小导通电阻路差ΔRON 和加快开关速度。

5. 开关速度

开关速度指开关接通或断开的速度。通常用接通时间TON和断开时间TOFF 表示。对于需要传输快变化信号的场合,要求模拟开关的切换速度高,同时还应该考虑与后级采样保持电路和A/D转换器的速度相适应,从而以最优的性能价格比来选择器件。多路开关的切换速度与其自身的结构、工作条件以及外电路的情况都有关系。在实践中应注意以下几点:所有的多路开关的平均传输延迟时间tpd均随VDD的升高而减小。传输信号的信号源内阻Rs对多路开关的切换时间有重要影响。在其它条件不变的情况下,切换时间近似与Rs成正比,即Rs越小,开关的动作就越快。所以,对高内阻的信号源(一些传感器就是如此),宜用阻抗变换器(如电阻跟随器),将阻抗变低后再接入多路开关。此外,减小Rs还可同时减小多路开关的关断漏电流造成的误差。

6. 选择合适的传输信号输入方式

传输信号一般有单端输入和差动输入两种方式,分别适用于不同的场合。单端输入方式,即把所有信号源一端接同一信号地,信号地与ADC等的模拟地相接,各信号源的另一端分别接多路开关。差动输入方式,即把所有信号源的两端分别接至多路开关的输入端。其优点是抗共模干扰的能力强,缺点是实际通道数只有单端输入方式的一半。当传输信号的信噪比较低时,必须使用差动输入方式。

模拟开关和多路转换器主要特性

7. 消除抖动引起的误差

和机械开关类似,多路开关在通道切换时也存在抖动过程,会出现瞬变现象。若此时采集多路开关的输出信号,就可能引入很大的误差。例如:某计算机自动数据采集与处理系统采集三个模拟量:水泵转速、流量、压力。三个模拟量对应的TTL电平分别为:1.5454V,1.5698V、2.9394V。采集系统从通道1、2、3分别对这三个模拟量连续采集10次,采集结果位于1.8554~1.8603、1.5625~1.5673、1.62207~1.62695之间,其中1、3、通道的误差很大。这种误差是由于系统在多路开关通断切换未稳定下来就采集数据造成的。消除抖动的常用方法有两种:一是用硬件电路来实现(硬件方法),即用RC滤波器除抖动;另一种是用软件延时的方法来解决(软件方法)。

多路复用器、模拟开关设计指南 第十二版

MUX & SWITCH
Data Sheets
DESIGN GUIDE
Free Samples
ANALOG
Applications Notes
1
1
e Futurcts Produ
!
SOT
/ Maxim ( SPST )
+2.0V
+5.5V
: +25° C 0.5 SOT23-5 1 MAX4544 SOT23 PDA 1 +2.0V
MAX4626/MAX4627/MAX4628
+5.5V 50ns t ON 50ns t OFF MAX4501/MAX4502 MAX4514/MAX4515 TC7S66F Maxim MAX4644 / : MAX4661–MAX4669 ±15V 1.25 5 ( SPDT )
MAX4624/MAX4625 +25 °C MAX4626/MAX4627/MAX4628 MAX4624 ( BBM ) ( MBB ) MAX4625
6
MAX4680/MAX4690/MAX4700
+25 °C ( MAX4624* MAX4625* MAX4626* MAX4627* MAX4628*
* —
RON )
+25 °C
RON () 6 6 5 5 5
– SOT23 SOT23 SOT23 SOT23 SOT23
(ns) tON 50 50 50 50 50 t OFF 50 50 50 50 50
1 2 3 4 5 6 7 8 9 10 11 12
1 1 0.5 0.5
/
0.3 0.3 0.2 0.2 0.2
0.5

多路复用器和模拟开关

多路复用器和模拟开关 多路复用器(MULTIPLEXER 也称为数据选择器)是用来选择数字信号通路的;模拟开关是传递模拟信号的,因为数字信号也是由高低两个模拟电压组成的, 所以模拟开关也能传递数字信号。 在CMOS多路复用器中,因为其数据通道也是模拟开关结构,所以也能用于选择多路模拟信号。但是TTL的多路复用器就不能选择模拟信号.。 用CMOS的多路复用器或模拟开关传递模拟信号时要注意:模拟信号的变化值必须在正负电源电压之间,譬如要传递有正负半周的正弦波时,必须使用正负电源且电源电压大于传递的模拟信号峰值,这时其控制或地址信号必须以负电源电压为0,而以正电源电压为1;或者用单电源供电,而使模拟信号的变化中值在 1/2 电源电压上, 传递之后再恢复到原来的值。 一、常用CMOS模拟开关引脚功能和工作原理 1.四双向模拟开关CD4066 CD4066的引脚功能如下图所示。每个封装内部有4个独立的模拟开关,每个模拟开关有输入、输出、控制三个端子,其中输入端和输出端可互换。当控制端加高电平时,开关导通;当控制端加低电平时开关截止。模拟开关导通时,导通电阻为几十欧姆;模拟开关截止时,呈现很高的阻抗,可以看成为开路。模拟开关可传输数字信号和模拟信号,可传输的模拟信号的上限频率为40MHz。各开关间的串扰很小,典型值为-50dB。

2.单八路模拟开关CD4051 CD4051引脚功能如下图所示。CD4051相当于一个单刀八掷开关,开关接通哪一通道,由输入的3位地址码ABC来决定。“INH”是禁止端,当“INH”=1时,各通道均不接通。此外,CD4051还设有另外一个电源端VEE,以作为电平位移时使用,从而使得通常在单组电源供电条件下工作的CMOS电路所提供的数字信号能直接控制这种多路开关,并使这种多路开关可传输峰-峰值达15V的交流信号。例如,若模拟开关的供电电源VDD=+5V,VSS=0V,当VEE=-5V时,只要对此模拟开关施加0~5V的数字控制信号,就可控制幅度范围为-5V~+5V的模拟信号。 3.双四路模拟开关CD4052 CD4052的引脚功能如下图所示。CD4052相当于一个双刀四掷开关,具体接通哪一通道,由输入地址码AB来决定。

cd集成多路模拟开关的应用技巧

集成多路模拟开关的应用技巧(cd4051) 集成多路模拟开关的应用技巧 摘要:从应用的角度出发,研究了集成多路模拟开关的应用技巧,并结合实例进行了讨论。这些应用技巧具有较强的针对性和可操作性,对集成多路模拟开关的正确选择与合理使用具有指导意义。 关键词:集成多路模拟开关传输精度传输速度 集成多路模拟开关(以下简称多路开关)是自动数据采集、程控增益放大等重要技术领域的常用器件,其实际使用性能的优劣对系统的严谨和可靠性重要影响。关于多路开关的应用技术,些文献上介绍有两点不足:一是对器件自身介绍较多,而对器件与相关电路的合理搭配与协调介绍较少;二是原则性的东西介绍较多, 而操作性的东西介绍较少。研究表明:只有正确选择多路开关的种类,注意多路开关与相关电路的合理搭配与协调,保证各电路单元有合适的工作状态,才能充 分发挥多路开关的性能,甚至弥补某性能指标的欠缺,收到预期的效果。本文从应用的角度出发,研究多路开关的应用技巧。目前市场上的多路开关以CMOS电路为主,故以下的讨论除特别说明外,均针对这类产品。 1 “先断后通”与“先通后断”的选择 目前市场上的多路开关的通断切换方式大多为“先断后通”(Break-Before-Make)。在自动数据采集中,应选用“先断后通”的多路开关。否则,就会发生两个通道短接的现象,严重时会损坏信号源或多路开关自身。 然而,在程控增益放大器中,若用多路开关来改变集成运算放大器的反馈电阻,以改变放大器的增益,就不宜选用“先断后通”的多路开关。否则,放大器就会出现开环状态。放大器的开环增益极高,易破坏电路的正常工作,甚至损坏元器件,一般应予避免。 2 选择合适的传输信号输入方式 分别适用于不同的场合。,传输信号一般有单端输入和差动输入两种方式 单端输入方式如图1所示,即把所有信号源一端接同一信号地,信号地与ADC等的模拟地相接,各信号源的另一端分别接多路开关。图中Vs为传输信号,Vc为系统中的共模干扰信号。 图1(a)接法的优点是无需减少一半通道数,也可保证系统的共模抑制能力;缺

8选1数据选择器74LS151

8选1数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图所示,功能见表。 选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。 (1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。838电子(2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。 如:CBA=000,则选择D0数据到输出端,即Y=D0。新艺图库 如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。工作原理ab126计算公式大全 74LS151功能表:

在数字系统中,往往要求将并行输出的数据转换成串行输出,用数据选择器很容易完成这种转换。例如将四位的并行数据送到四选一数据选择器的数据端上,然后在A1,A0地址输入端周期性顺序给出00 01 10 11,则在输出端将输出串行数据,不断重复。 数据选择器除了能从多路数据中选择输出信号外,还可以实现并行数据到串行数据的转换,作函数发生器等。 1.逻辑特性 (1) 逻辑功能:从多路输入中选中某一路送至输出端,输出对输入的选择受选择控制量控制。通常,对于一个具有2n路输入和一路输出的多路选择器有n个选择控制变量,控制变量的每种取值组合对应选中一路输入送至输出。 (2) 构成思想: 多路选择器的构成思想相当于一个单刀多掷开关,即 数据选择器的原理 o74LS151为互补输出的8选1数据选择器,引脚排列如图3-2,功能如表3-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择 一个需要的数据送到输出端Q,为使能端,低电平有效。 图74LS151引脚排列 使能端=1时,不论A2~A0状态如何,均无输出(Q=0,=1),多路开关被禁止。 1)使能端=0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7 中某一个通道的数据输送到输出端Q。 如:A2A1A0=000,则选择D0数据到输出端,即Q=D0。 如:A2A1A0=001,则选择D1数据到输出端,即Q=D1,其余类推。 数据选择器的定义及功能 数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的作用相当于多个输入的单刀多掷开关,其示意图如下所示。 下面以4选1数据选择器为例,说明工作原理及基本功能。其逻辑图为: 功能表为: 为了对4个数据源进行选择,使用两位地址码BA产生4个地址信号。由BA等于00、01、10、11分别控制四个与门的开闭。显然,任何时候BA只有一种可能的取值,所以只有一个与门打开,使对应的那一路数据通过,送达Y端。输入使能端G是低电平有效,当G=1时,所有与门都被封锁,无论地址码是什么,Y总是等于0;当G=0时 ,封锁解除,由地址码决定哪一个与门打开。 同样原理,可以构成更多输入通道的数据选择器。被选数据源越多,所需地址码的位数也越多,若地址输入端为N,可选输入通道数为2n。 二、集成电路数据选择器 1.74LS151集成电路数据选择器的功能 74LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可选择D0~D7 8个数据源,具有两个互补输出端,同相输出端Y和反相输出端W。其逻辑图和引脚图分别如下所示:由逻辑图可知,该逻辑电路的基本结构为“与一或一非”形式。输入使能G为低电平有效。输出Y的

8选1数据选择器74LS151

8选1数据选择器74L S15 1 74LS151为互补输出的8选1数据选择器,引脚排列如图所示,功能见表。? 选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。 (1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。838电子(2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。 如:CBA=000,则选择D0数据到输出端,即Y=D0。新艺图库 如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。工作原理ab126计算公式大全 74LS151功能表: 在数字系统中,往往要求将并行输出的数据转换成串行输出,用数据选择器很容易完成这种转换。例如将四位的并行数据送到四选一数据选择器的数据端上,然后在A1,A0地址输入端周期性顺序给出00 01 10 11,则在输出端将输出串行数据,不断重复。 数据选择器除了能从多路数据中选择输出信号外,还可以实现并行数据到串行数据的转换,作函数发生器等。 1.逻辑特性 (1) 逻辑功能:从多路输入中选中某一路送至输出端,输出对输入的选择受选择控制量控制。通常,对于一个具有2n路输入和一路输出的多路选择器有n个选择控制变量,控制变量的每种取值组合对应选中一路输入送至输出。 (2) 构成思想: 多路选择器的构成思想相当于一个单刀多掷开关,即 数据选择器的原理 o74LS151为互补输出的8选1数据选择器,引脚排列如图3-2,功能如表3-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择 一个需要的数据送到输出端Q,为使能端,低电平有效。

多路模拟开关的选择

今天做电路研究的时候要用到多路数据选择器,多路开关。和开发部的头讨论了下,才发现里面有很多东西要学,这里就贴出来一些心得分享一下,一下的内容也有从别处摘来的一部分。选择开关时需考察以下指标: 1 多路开关通断方式的选择 目前市场上的多路开关的通断切换方式大多为“先断后通” (Break-Before-Make)。在自动数据采集中,应选用“先断后通”的多路开关。否则,就会发生两个通道短接的现象,严重时会损坏信号源或多路开关自身。然而,在程控增益放大器中,若用多路开关来改变集成运算放大器的反馈电阻,以改变放大器的增益,就不宜选用“先断后通”的多路开关。否则,放大器就会出现开环状态。放大器的开环增益极高,易破坏电路的正常工作,甚至损坏元器件,一般应予避免。 2. 通道数量 集成模拟开关通常包括多个通道。通道数量对传输信号的精度和开关切换速率有直接的影响,通道数越多,寄生电容和泄漏电流就越大。因为当选通一路时,其它阻断的通道并不是完全断开,而是处于高阻状态,会对导通通道产生泄漏电流,通道越多,漏电流越大,通道之间的干扰也越强。 3. 泄漏电流 一个理想的开关要求导通时电阻为零,断开时电阻趋于无限大,漏电流为零。而实际开关断开时为高阻状态,漏电流不为零,常规的CMOS漏电流约1nA。如果信号源内阻很高,传输信号是电流量,就特别需要考虑模拟开关的泄漏电流,一般希望泄漏电流越小越好。 4. 导通电阻 导通电阻的平坦度与导通电阻一致性。导通电阻会损失信号,使精度降低,尤其是当开关串联的负载为低阻抗时损失更大。应用中应根据实际情况选择导通电阻足够低的开关。必须注意,导通电阻的值与电源电压有直接关系,通常电源电压越大,导通电阻就越小,而且导通电阻和泄漏电流是矛盾的。要求导通电阻小,则应扩大沟道,结果会使泄漏电流增大。导通电阻随输入电压的变化会产生波动,导通电阻平坦度是指在限定的输入电压范围内,导通电阻的最大起伏值△RON=△RONMAX—△RONMIN。它表明导通电阻的平坦程度,△RON应该越小越好。

数据选择器

数据选择器 数据选择器(Multiplexer ):又称多路选择器,是一个数字开关,根据地址选择码从多路输入数据中选择一路,送到输出。 2-1 n位地址选择信号 D D 1D 0 Y 出 n 输 据据… 入输数 数 常见的数据选择器产品有“2选1”、“4选1”、“8选1”、“16选1”。

以4选1为例: 双列直插封装芯片 4选1数据选择器示意图 0D 0D 1D 2D 3 ××0 00 11 01 1 10000 Y A 1A 0E 输出输入74LS153功能表 实验内容 一、用8选1数据选择器74LS151设计三输入多数表决电路; 0D 0D 1D 2D 3D 4D 5D 6D 7 ×××0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 100000000 Y A 2 A 1A 0 E 输出输入 74LS151功能表

二、用两片74LS151实现逻辑函数:Σm(6,7,8,11,13) 三、用双4选1数据选择器74LS153实现一位全加器 00010111 C n 01101001 S n 01010101 C n-100110011 B n 00001111 A n 全加器真值表

四、试用一片8选1数据选择器74LS151产生逻辑函数 五、思考题: 试用4选1 数据选择器实现一个简单的交通灯故障检测电路。 要求:每一组信号灯由红、黄、绿三盏灯组成。正常工作情况下,任何时刻比有一盏灯点亮,而且只允许有一盏灯点亮。而当出现其他五种点亮状态时,认为电路发生故障,这时要求发出故障信号,以提醒维护人员去修理。

常用模拟开关芯片引脚,功能及应用电路

常用模拟开关芯片引脚,功能及应 用电路 ! m8r*}3V"d'w , n7x8L1z&B#r1a0Z3~ CMOS模拟开关是一种可控开关,它不象继电器那样可以用在大电流、高电压场合,只适于处理幅度不超过其工作电压、电流较小的模拟或数字信号。$ \, \4F-]5}8 W6 G2 T 2 t$y5I&R!n6N&}4z 一、常用CMOS模拟开关功能及引脚介绍) ]) S f7 X; S& Z+ X 1.四双向模拟开关CD4066% b$ Y) P- k5 c3 \# _, |+ a 4 D7{6F T4v8e,S,y CD4066的引脚功能如图1所示。每个封装内部有4个独立的模拟开关,每个模拟开关有输入、输出、控制三个端子,其中输入端和输出端可互换。当控制端加高电平时,开关导通;当控制端加低电平时开关截止。模拟开关导通时,导通电阻为几十欧姆;模拟开关截止时,呈现很高的阻抗,可以看成为开路。模拟开关可传输数字信号和模拟信号,可传输的模拟信号的上限频率为40MHz。各开关间的串扰很小,典型值为-50dB。. V"T!S1O,h#n O 2.单八路模拟开关CD4051 n*L+X%k._+L CD4051引脚功能见图2。CD4051相当于一个单刀八掷开关,开关接通哪一通道,由输入的3位地址码ABC来决定。其真值表见表1。“INH”是禁止端,当“INH”=1时,各通道均不接通。此外,CD4051还设有另外一个电源端VEE,以作为电平位移时使用,从而使得通常在单组电源供电条件下工作的CMOS电路所提供的数字信号能直接控制这种多路开关,并使这种多路开关可传输峰-峰值达15V的交流信号。例如,若模拟开关的供电电源VDD=+5V,VSS=0V,当VEE=-5V时,只要对此模拟开关施加0~5V的数字控制信号,就可控制幅度范围为-5V~+5V的模拟信号。& Q/]9t"F8o,`7J(q 表1 附件: 您所在的用户组无法下载或查看附件, 保暖

新型故障保护模拟开关

新型故障保护模拟开关 MAXIM北京办事处栾成强 系统在上电或掉电时,信号端与电源端常常出现过压现象,传统的解决方案是在外部加限流电阻、或控制上电时序。尽管这是一种合理的方案,但实现起来比较困难。一旦失误可能会引起过流,损坏器件。 一种新的解决方案是在片内集成故障检测电路,检测到故障时关断开关,保护后续电路不受故障影响,通过严格限制故障电流,能够避免功率耗散引起的过热现象。 典型的CMOS模拟开关输出级是一个P型场效应管(P1)和 一个N型场效应管(N1)相并联,这种互补结构可以实现满摆 幅输出(图1)。内部电路倒相后分别驱动P1和N1的栅极(P1 是-15V时,N1是+15V,或反之)。当输入信号电压超过场效应 门限电压,模拟开关导通,将导致输出端出现故障,例 管的V GS 如电源电压是±15V输入+25时,P1场效应管内的寄生二极管 被正向偏置,处在导通状态。输入-25V时,N1场效应管具有相 同的问题。如果P1、N1的栅极没有加电源电压(P1和N1的栅 的信号 极电压为0V),那么,开关输入端出现任何幅度超过V GS 电压,开关将被接通。故障信号使内部寄生二极管正向导通, 导致大电流损坏器件或锁闭N1或P1。 采用图2所示的N1—P1—N2的串联结构可以解决这类问 题。同样内部驱动需要倒相,开关接通时,P1的 栅极为-15V,N1和N2的栅极为+15V,(关断开关 时相反)。故障信号不可能通过这种串联开关而损 坏器件,所以能够解决并联开关所面临的问题。 串联结构的缺陷是导通电阻大,芯片尺寸也较大, 使信号处理能力下降。对于±15V的电源,输入信 号电压的范围在场效应管门限之间(大约±13V)。 因此尽管这种电路结构可以保护芯片,但其导通电 阻和信号处理能力变差。 图3所示的新型故障保护结构包含与图1类 似的并联开关场放应管(N1和P1),每个场效应管配备一个检测电路,输出箝位电路为某些应用提供方便。该电路能够保护过压引起的故障和电路损坏。为便于理解电路的工作原理,假设输入信号为+25V或-25V,输入-25V时,上端检测开关(-25V与-15电源电压比较)将输入短接到N1的栅极,N1的源极是-25V,所以N1关断。同时上端比较器导致N沟道驱动器浮空,N沟道驱动器与P沟道驱动器相连使P1也关断,由于电路中开关断开,输入端不存在正向偏置二极管的电流通路,所以信号出现故障时,仅有泄漏电流存在。上端比较器在出现故障时打开N2,N2通过内部电阻将输出端箝位到-15V,电阻值随不同的产品而变化(一般为1000欧),箝位电路为A/D转换器的应用提供了方便。+25V输入时,P1-P3的工作情况如同N1-N3,检测到故障时关断N1/P1,输入端仅有漏电流存在,P2通过内部大约1000欧的电阻把输出箝位在+15V。由此可见,图3结构结合了图1和图2的优点(图1是低导通电阻和满摆幅信号处理能力,图2是故障保护),能够保护通过开关耦合的故障,消除功率过大引起的损坏。 当电源电压关断而有信号电压时,新器件同样提供信号线过压保护,输入信号为-25V时, =0V关断N1。比较器、N沟道驱动器、箝位N3检测开关将输入短路到N1的栅极,通过V GS

四选一数据选择器和基本触发器的设计

四选一数据选择器和基本触发器的设计学生姓名:朱一进指导老师:肖晓丽 摘要系统基于数据选择器及D触发器,JK触发器,T触发器的原理,使用EDA技术在FPGA中设计了四选一数据选择器和基本触发器,采用硬件描述语言VHDL按模块化方式进行设计,然后进行编程,时序仿真等。在数据选择器中,用拨码开关作四位数据及两位控制端的输入,LED作输出,通过拨码开关组成控制输入端s1和s0不同组合,观察LED与数据输入端a,b,c,d的关系,验证4选一数据选择器设计的正确性,通过VHDL语言实现了本设计的控制功能,按不同的键实现不同的功能,根据数据选择器的特性方程设计输出状态。在基本触发器中通过VHDL语言实现了本设计的控制功能,按不同的键实现不同的功能,根据三钟触发器的特性方程设计输出状态。整个系统结构简单,使用方便,值得推广使用。 关键字FPGA;VHDL;EDA;数据选择器;触发器 目录 1引言 (3) 1.1设计的目的 (3) 1.2设计的基本内容 (3) 1.四选一数据选择器 (3) 2.基本触发器 (4) 2 EDA、VHDL简介 (4) 2.1EDA技术 (4) 2.2硬件描述语言——VHDL (6) 3设计规划过程 (7)

3.1四选一数据选择器的工作原理 (7) 3.2基本触发器器的工作原理 (7) 3.3课程设计中各个模块的设计 (8) 1.数据选择器的设计 (8) 2.基本触发器的设计 (9) 4结束语 (11) 参考文献 (12) 附录 (12) 1 引言 20世纪60年代初,美国德克萨斯仪器公司TI(Texas Instruments)将各种基本逻辑电路以及连线制作在一片体积很小的硅片上,经过封装后提供给用户使用,这就是集成电路。从先前的采用半导体技术实现的计算机到现在广泛应用的采用高集成度芯片实现的计算机。基本门电路和数值比较器作为基本逻辑电路的“细胞”,因而成为深入研究和了解基本逻辑电路的基石。 计算机组成原理与设计是计算机科学与技术专业本科生的必修课程。在完成理论学习和必要的实验后,本科学生掌握了它的基本原理和各种基本功能的应用,但对硬件实际应用设计和其完整的用户程序设计还不清楚,实际动手能力不够,因此对该课程进行一次课程设计是有必要的。 计算机组成原理与设计的课程设计既要让学生巩固课本学到的理论,还要让学生学

模拟开关与多路转换器

模拟开关与多路转换器 问:ADI公司不给出ADG系列模拟开关和多路转换器的带宽,这是为什么? 答:ADG系列模拟开关和多路转换器的输入带宽虽然高达数百兆赫,但是其带宽指标本身不是很有意义的。因为在高频情况下,关断隔离(off isolation)和关扰指标都明显变坏。例如,在1MHz情况下,开关的关断隔离典型值为70dB,串扰典型值为-85dB。由于这两项指标都按20dB/+倍频下降,所以在10MHz时,关断隔离降为50dB,串扰增加为-65dB;在100MHz时,关断隔离降为30dB,而串扰增加为-45dB。所以,仅仅考虑带宽是不够的,必须考虑在所要求的高频工作条件下这两项指标下降是否能满足应用的要求。(关断隔离是指当开关断开时,对耦合无用信号的一种度量——译者注。) 问:哪种模拟开关和多路转换器在电源电压低于产品说明中的规定值情况下仍能正 常工作? 答:ADG系列全部模开关和多路转换器在电源电压降到+5V或±5V情况下都能正常工作。受电源电压影响的技术指标有响应时间、导通电阻、电源电流和漏电流。降低电源电压会降低电源电流和漏电流。例如,在125°C,±15V时,ADG411关断状态源极漏电流IS(OFF)和漏极漏电流ID(OFF)都为±20nA,导通状态漏极漏电流ID(ON)为±40nA;在同样温度下,当电源电压降为±5V,IS(OFF)和ID(OFF)降为±25nA,ID(ON)降为±5nA。在+125°C,±15V 时,电源电流I DD ,I SS 和IL最大为5μA;在±5V时,电源电流,最大值降为1μA。导通电阻和响应时间随电源电压降低而增加。图1和图2分别示出了ADG408的导通电阻和响应时间随电源电压变化的关系曲线。 此主题相关图片如下: 图1 导通电阻与电源 电压的关系曲线 问:有些ADG系列模拟开关是用DI工艺制造的,DI是怎么回事? 答:DI是英文Dielectric Isolation介质隔离的缩写,按照DI工艺要求,每 个CMOS开关的NMOS管和PMOS管之间都有一层绝缘层(沟道)。这样可以消除普通的模拟开关之间的寄生PN结,所以可以制造出完全防闩锁的开关。在采用PN结隔离(不是沟道)工艺中, 此主题相关图片如下:

正确选择CMOS模拟开关的建议..

正确选择CMOS模拟开关的建议 集成模拟开关常常用作模拟信号与数字控制器的接口。当今市场上的模拟开关数量众多,产品设计人员需要考虑多项性能标准。同时也有许多35年前开发的标准CMOS开关已经发展为专用的开关电路。 本文回顾标准CMOS模拟开关的基本结构并介绍常见模拟开关参数,例如导通电阻(RON)、RON平坦度、漏电流、电荷注入及关断隔离。文中讨论最新模拟开关的性能改善:更好的开关特性、更低的供电电压,以及更小的封装。也介绍了专用的特性,例如故障保护、ESD保护、校准型多路复用器(cal-mux)和加载-感应功能。介绍了适用于视频、高速USB、HDMI和PCIe的专用开关。 标准模拟开关基础 传统模拟开关的结构如图1所示。将n沟道MOSFET与p沟道MOSFET 并联,可使信号在两个方向上同等顺畅地通过。n沟道与p沟道器件之间承载信号电流的多少由输入与输出电压比决定。由于开关对电流流向不存在选择问题,因而也没有严格的输入端与输出端之分。两个MOSFET由内部反相与同相放大器控制下导通或断开。这些放大器根据控制信号是CMOS或是TTL逻辑、以及模拟电源电压是单或是双,对数字输入信号进行所需的电平转换。 图1. 采用并联n沟道和p沟道MOSFET的典型模拟开关的内部结构 现在,许多半导体制造商都提供诸如早期CD4066这样的传统模拟开关。有些最新设计的模拟开关与这些早期开关的引脚兼容,但性能更高。例如,有些与CD4066引脚兼容的器件(例如MAX4610)相对于原来的CD4066具有更低的RON和更高的精度。

对基本模拟开关结构也有一些功能性改变。有些低电容模拟开关在信号通路中只使用n沟道MOSFET(例如MAX4887),省去了较大的大幅降低模拟开关带宽的p沟道MOSFET。 其它采用单个正电源轨工作的模拟开关采用电荷泵,允许负信号电压。例如,MAX14504音频开关工作在+2.3VCC至+5.5VCC单电源,采用内部电荷泵,允许-VCC至+VCC的信号无失真通过。除功能改善外,工业上许多最新模拟开关的封装比早期的器件更小。 导通电阻(RON)开关降低信号损耗 在VIN为各种电平条件下,p沟道和n沟道RON的并联值形成并联结构的RON特征(图2)。RON随VIN的变化曲线在不考虑温度、电源电压和模拟输入电压对RON影响的情况下为直线。为使信号损耗和传输延迟最小,理想情况下的RON应尽量小。然而,降低RON将增大MOSFET硅片的宽度/长度(W/L)比,从而造成较高的寄生电容和较大的硅片面积。这种较大的寄生电容降低模拟开关的带宽。如果不考虑W和L,RON是电子和空穴迁移率(μn和μp)、氧化物电容(COX)、门限电压(VT)及信号电压、n沟道及p沟道MOSFET的信号电压VGS (VIN)的复合函数,如式1a和1b 所示。 将RON和寄生电容最小化,同时改善整个温度和电压范围内RON相对于VIN的线性度,往往是设计新产品的首要目的。 图2. RON与VIN的关系。图1中的n沟道和p沟道RON构成一个复合的低 值RON

CMOS模拟开关的选择与典型应用

一、前言: 早期的模拟开关大多工作于±20V 的电源电压,导通电阻为几百欧姆,主要用于模拟信 号与数字控制的接口,近几年,集成模拟开关的性能有了很大的提高,它们可工作在非常低的电源电压,具有较低的导通电阻、微型封装尺寸和极佳的开关特性。被广泛用于测试设备、通讯产品、PBX/PABX 设备以及多媒体系统等。一些具有低导通电阻和低工作电压的模拟开关 成为机械式继电器的理想替代品。 模拟开关的使用方法比较简单,但在具体应用中应根据实际用途做合理的选择。本文主 要介绍模拟开关的基本特性和几种特殊模拟开关的典型应用。 二、正确选择CMOS开关: 1、导通电阻:传统模拟开关的结构如图1 所示,它由N 沟道MOSFET 与P 沟道MOSFET 并联构成,可使信号双向传输,如果将不同V IN值所对应的P 沟道MOSFET 与N 沟道MOSFET 的导通电阻并联,可得到图2 并联结构下导通电阻(R ON)随输入电压(V IN)的变化关系,如果不 考虑温度、电源电压的影响,R ON 随V IN 呈线性关系,将导致插入损耗的变化,使模拟开关产生总谐波失真(THD),这是设计人员所不希望的,如何将R ON随V IN的变化量降至最小也是设计新一代模拟开关所面临的一个关键问题。

另外,导通电阻还与开关的供电电压有关,由 图3 可以看出:R ON随着电源电压的减小而增大,当 MAX4601的电源电压为5V 时,最大R ON为8Ω;当电 源电压为12V 时,最大R ON为3Ω;电源电压为24V 时,最大R ON仅为2.5Ω。R ON的存在会使信号电压产 生跌落,跌落量与流过开关的电流成正比,对于适 当的电流这一跌落量在系统容许的误差范围内,而 要降低R ON所耗费的成本却很高,因此,应根据实际 需要加以权衡。R ON 确定后,还需考虑通道间的失配 度与R ON的平坦度。ATMEL代理通道失配度用来描述同一芯片不 同通道间R ON 的差别;R ON 的平坦度用于描述每一通 道的R ON在所规定的信号范围内的变化量。这两个参 数的典型值为2Ω至5Ω,对于低R ON 模拟开关,这 些参数仅为0.5Ω。失配度/R ON、平坦度/R ON 这两个 比值越小,说明模拟开关的精度越高。 注入电荷:低R ON 并非适用于所有的应用,较低的R ON 需要占据较大的芯片面积,从而产生较大的输入电容,在每个开关周期其充电和放电过程会消耗更多的电流。时间常数t = RC,充电时间取决于负载电阻(R)和电容(C),一般持续几十ns。这说明低R ON开关具有更长的导通和关断时间。Maxim 提供两种类型的开关,每种开关都有微型SOT23 封装,MAX4501 和MAX4502 的导通电阻较高,但开关速度较快;MAX4514 和MAX4515 具有较低的导通电阻,但开关时间较长。 3、系统电源:为单电源供电系统选择模拟开关时,应尽量选择那些专为单电源供电而设计的产品,这类开关不需要单独的V-和GND引脚,节省了一个引脚,能够把一个单刀双掷(SPDT)开关封装在微小的SOT23-6 中。同样,低电压双电源供电系统需选用双电源供电开关,它们

二选一数据选择器

二选一数据选择器 目录 一:数据选择器的基本原理 (3) 二电路逻辑功能 (2) 2.1 电路逻辑图 (2) 2.2真值表与表达式 (3) 2.3电路设计及仿真 (3) 三版图设计 (5) 3.1总体版图设计及DRC验证 (5) 3.1.1数据选择器版图设计步骤 (5) 3.1.2版图验证 (8) 3.2版图仿真 (9) 四数据选择器版图LVS对比 (10) 五结论及体会 (12)

一:数据选择器的基本原理 数据选择器是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。它的作用相当于多个输入的单刀多掷开关,其示意图如下: 图1 n位通道选择信号 数据选择器除了可以实现一些组合逻辑功能以外,还可以做分时多路传输电路、函数发生器及数码比较器等。常见的数据选择器有4选1、8选1、16选1电路。 在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器 数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号 下图所示为二选一数据选择器原理图,a,b为输入端,sel为控制端,out为输出端 图1-1数据选择器原理图 二电路逻辑功能 2.1 电路逻辑图 =+(S是数据选择控制端,S为0时选择A,为1时选S择B) Y SA SB 要实现2选1选择器,逻辑电路图如下所示

图2-1数据选择器逻辑电路图 2.2真值表与表达式 二选一数据选择器逻辑表达式为:Y SA SB =+ 根据逻辑表达式所列真值表如下图所示 图2-2数据选择器真值表图 2.3电路设计及仿真 根据原理电路图并使用S-Edit 软件设计出数据选择器的电路图及对应符号图 如下: S A B Y 0 1 1 1 1 0 1 0 1 0 0 0 0 1 1 1 1 1 0 0 0 1 1 0

集成模拟开关及其应用

集成模拟开关及其应用 模拟开关是一种三稳态电路,它可以根据选通端的电平,决定输人端与输出端的状态。当选通端处在选通状态时,输出端的状态取决于输人端的状态;当选通端处于截止状态时,则不管输人端电平如何,输出端都呈高阻状态。模拟开关在电子设备中主要起接通信号或断开信号的作用。由于模拟开关具有功耗低、速度快、无机械触点、体积小和使用寿命长等特点,因而,在自动控制系统和计算机中得到了广泛应用。 一、模拟开关的电路组成及工作原理 模拟开关电路由两个或非门、两个场效应管及一个非门组成,如图一所示。模拟开关的真值表见表一。 表一 模拟开关的工作原理如下: 当选通端E和输人端A同为1时,则S2端为0,S1端为1,这时VT1导通,VT2截止,输出端B输出为1,A=B,相当于输入端和输出端接通。

当选通E为0时,而输人端A为0时,则S2端为1,S1端为0,这时VT1截止,VT2导通,输出端B为0,A=B,也相当于输人端和输出端接通。 当选通端E为0时,这时VT1和VT2均为截止状态,电路输出呈高阻状态。 从上面的分析可以看出,只有当选通端E为高电平时,模拟开关才会被接通,此时可从A向B传送信息;当输人端A为低电平时,模拟开关关闭,停止传送信息。 二、常用的CMOS模拟开关集成电路 根据电路的特性和集成度的不同,MOS模拟开关集成电路可分为很多种类。现将常用的模拟开关集成电路的型号、名称及特性列入表二中。 表二常用的模拟开关 三、CD4066模拟开关集成电路的应用举例 CMOS 四路双向开关CD4066B 一般说明: CD4066B是一个为模拟/数字信号的转换或多路复用设计的四路双向开关. 它和CD4016B 的引脚相兼容,但是拥有一个更低的导通电阻. 此外, 在整个输入信号范围内, 导通电阻是一个常量. CD4066B包含四路独立控制的双向开关.一个开关中的P型MOSFET和n型MOSFET控制信号的导通和关断.. 如图1所示, 开关打开时,n型沟道电位取决于输入电压,开关关闭时,取决于

数据选择器

数据选择器 数据选择器是指根据地址选择码从多个输入端输入的数据中选择一个数据,送到由地址选择码确定的唯一的输出端进行输出的逻辑电路。它的作用相当于多输入的单刀多掷开关,其示意图: 0Y 1 2 I n 位二进制通道选 择信号 I I 注意: (1)N 个数据输入端,N 2log 个地址端,1个数据输 出端。 (2)列真值表时数据输入端用信号本身表示; (3)逻辑表达式的写法。 实质:编码器+数据输入。

(对数据输入端编码) 数据选择器与数据分配器相类似,也有n个地址输入端,但地址代码用来确定的是n2个数据输入端。输入端与地址端之间满足的条件也是N=2n,其中N表示数据输入端的个数,n表示地址输入端的个数。数据选择器的输出端只有一个。数据选择器的名称是由输入通道的个数来确定的,例如有8个输入通道的数据分配器被称为8选一数据选择器。 例1 利用逻辑门器件设计一个4选1数据选择器。(1)4选1数据选择器就是在4个输入信号中选择一个进行输出。根据N=2n可知电路中要有4个信号输入端用I3、I2、I1、I0表示,2个地址选通端用A1、A0。一个选通数据输出端用Y表示。则4选1数据选择器的逻辑功能真值表。 4选1数据选择器的逻辑功能真值表

(2)由真值表列出逻辑表达式: 3012011 01001I A A I A A I A A I A A Y +++= 逻辑函数表达式已经是最简形式所以不用对其进行化简。 (3)由逻辑表达式画出逻辑图。 I A I 1 A I I Y 用集成数据选择器实现逻辑函数的步骤: 1、将逻辑式Y 化为最小项表达式; 2、将最小项表达式的各最小项与集成数据选择器输

多路模拟开关及应用

多路模拟开关及应用 一、实验目的 通过实验进一步了解集成多路模拟开关的组成及工作原理,掌握该芯片的功能测试方法,了解其部分电路。 二、实验仪器 智能数字电路实验台;cd4051芯片;示波器; 三、实验原理及实验电路 CD4051/CC4051是单8通道数字控制模拟电子开关,有三个二进控制输入端A、B、C和INH 输入,具有低导通阻抗和很低的截止漏电流。幅值为4.5~20V的数字信号可控制峰值至20V 的模拟信号。例如,若VDD=+5V,VSS=0,VEE=-13.5V,则0~5V的数字信号可控制-13.5~4.5V的模拟信号。这些开关电路在整个VDD-VSS和VDD-VEE电源范围内具有极低的静态功耗,与控制信号的逻辑状态无关。当INH输入端=“1”时,所有的通道截止。三位二进制信号选通8通道中的一通道,可连接该输入端至输出。 CD4051真值表

实验步骤: 1.将CD4051芯片放入数电实验箱16P引脚槽中,S0-S7(13.14.15.1 2.1.5.2.4)引脚接LED发 光二极管,Sm(3)引脚、二进控制输入端A(11)、B(10)、C(9)和INH输入(6)引脚分别接入高低电平开关,16接+5v,8接地,7接-5v。打开电源,波动A\B\C的高低电平,观察LED发光二极管是否按真值表显示。 2.将CD4051芯片放入数电实验箱16P引脚槽中,S0-S7(1 3.1 4.1 5.12.1.5.2.4)引脚接LED发 光二极管,Sm(3)引脚接连续脉冲,二进控制输入端A(11)、B(10)、C(9)和INH输入(6)引脚分别接入高低电平开关,打开电源,波动A\B\C的高低电平,观察LED 发光二极管是否按真值表显示。在发光的二极管上接示波器看是否有图形显示 3.将CD4051芯片放入数电实验箱16P引脚槽中,S0-S7(13.1 4.1 5.12.1.5.2.4)引脚接高低电 平开关,Sm(3)引脚接LED发光二极管,二进控制输入端A(11)、B(10)、C(9)和INH输入(6)引脚分别接入高低电平开关,打开电源,波动A\B\C的高低电平,将Sm (3)接LED发光二极管对应真值表看是否对应LED发光二极管发光并接示波器观察波形。 4.设计 单按钮音量控制器电路。VMOS管VT1作为一个可变电阻并接在音响装置的音量电位器输出端与地之间。VT1的D极和S极之间的电阻随VGS成反比变化,因此控制VGS就可实现对音量大小的控制。VT1的G极接有3个模拟开关S1~S3和一个100μF的电容,其中100μF电容起电压保持作用。由于VMOS管的G极和S极之间的电阻极高,故100μF电容上的电压可

数据选择器

数据选择器 1. 数据选择器的基本概念 数据选择器又称为多路开关,其英文缩写为MUX。数据选择器是从多路数据输入中选择与地址信号所对应的一路传送到输出端。它的功能类似于图6-59所示的单刀多掷开关,通过开关的转换,把输入信号D3、D2、D1、D0中的一个信号传送到输出端。 图6-59 数据选择器示意框图 常用的数据选择器有2选1、4选1、8选1、16选1等类型。下面以4选1数据选择器为例,说明它的功能。 表6-29是4选1数据选择器的功能表。表中输入端的4个数据为D3~D0,A1、A0为地址输入端,Y为数据输出端,ST为低电平有效的使能端。由功能表可见,当ST=1时,数据选择器不工作,禁止数据输入;当ST=0时,根据不同的输入地址,与其对应的一路输入数据送到输出端。如:当地址输入端A1A0=01时,Y=D1,即D1被送到输出端。 表6-29 4选1数据选择器的功能表 2.集成数据选择器 集成数据选择器的种类较多,常用的数据选择器有2选1(74LS157)、4选1(74LS153)、8选1(74LS151)、16选1(74LS150)等类型。下面以8选1

数据选择器74LS151为例,说明它的功能。 8选1数据选择器74LS151是一种典型的集成数据选择器。图6-61是74LS151的示意框图。它有8个数据输入端D 7~D 0,3个地址输入端A 2、A 1、A 0,2个互补输出端Y 和W ,使能端ST 为低电平有效。74LS151的功能表如表6-30所示。 表6-30 74LS151的功能表 图6-61 74LS151示意框图 将3个地址输入端A 2、A 1、A 0分别输入3个变量A 、B 、C ,由表6-30可写出8选1数据选择器输出端的逻辑表达式 Y =(A B C 0D +A B C 1D +A B C 2D +A B C 3D +A B C 4D +A B C 5D +A B C 6D +A B C 7D )ST =(0m 0D +1m 1D +2m 2D +3m 3D +4m 4D +5m 5D +6m 6D +7m 7D )ST 当ST =1时,输出Y =0,数据选择器不工作。 当ST =0时,数据选择器工作。其输出为 Y =A B C 0D +A B C 1D +A B C 2D +A B C 3D +A B C 4D +A B C 5D +A B C 6D +A B C 7D 参考资料:数电P87-91

SGM3005XMS_TR圣邦微模拟开关

GENERAL DESCRIPTION FEATURES S GM3005是一款双通道,低导通电阻,低压,双向,单刀双掷(SPDT)CMOS模拟开关,设计用于采用+ 1.8V至+ 5.5V单电源供电。目标应用包括受益于低RON (0.5Ω)和快速开关速度(tON = 16 ns,tOFF = 15 ns)的电池供电设备。 在整个模拟信号范围内,导通电阻曲线非常平坦。这样可在切换音频信号时确保出色的线性度和低失真。 SGM3005是专用的双单刀双掷(SPDT),包括两个常开(NO)和两个常闭(NC)开关。此配置可以用作双2对1多路复用器。 SGM3005提供MSOP-10和DFN-10封装。.?低压操作:1。8V至5.5 V ?低导通电阻:0。5Ω (T Y P) ?低导通电阻平坦度 ?–3d B带宽:30M H z ?快速切换时间 t O N16n s t O F F15n s ?轨到轨操作 ?典型功耗(<0.01μW)?兼容T T L/C M O S ?超小型包装 PIN CONFIGURATIONS(TOP VIEW) APPLICATIONS ●电池供电的手持式和便携式设备 ●蜂窝电话/手机 ●笔记本电脑,笔记本电脑,掌上电脑●通讯系统 ●采样保持电路 ●音频信号路由 ●音视频切换 ●便携式测试与测量 ●医疗设备

ORDERING INFORMATION ABSOLUTE MAXIMUM RATINGS V + to GND....................................................................- 0.3V to +6V A nalog, Digital voltage range (1)..................... - 0.3V to V + + 0.3V Continuous Current NO, NC, or COM..........................±300mA Peak Current NO, NC, or COM......................................±500mA Operating Temperature Range...........................- 40°C to +125°C J unction Temperature...........................................................+150°C S torage Temperature.............................................- 65°C to +150°C Package Thermal Resistance @ T A = 25℃ D FN-10, θJA ........................................................................................33℃/W MSOP-10, θJA ...................................................................................205℃/W L ead Temperature (soldering, 10s).......................................260°C ESD Susceptibility H BM..........................................................................................2000V MM..............................................................................................400V Stresses beyond those listed under “Absolute Maximum Ratings” may cause permanent damage to the device. These are stress ratings only, and functional operation of the device at these or any other conditions beyond those indicated in the operational s ections of the specifications is not implied. Exposure to absolute maximum rating conditions for extended periods may affect d evic e reliability. (1) Signals on NC, NO, or COM or IN exceeding V+ will be clamped by internal diodes. Limit forward diode current to maximum c urrent ratings. PIN DESCRIPTION NAME PIN FUNCTION V + 1 Power supply GND 6 ground IN1, IN2 4,8 Digital control pin to connect the COM terminal to the NO or NC terminals COM1, COM2 3,9 Common terminal NO1, NO2 2,10 Normally-open terminal NC1, NC2 5,7 Normally-closed terminal Note: NO, NC and COM terminal may be an input or output.

相关文档