文档库 最新最全的文档下载
当前位置:文档库 › 南昌大学EDA实验报告四

南昌大学EDA实验报告四

南昌大学EDA实验报告四
南昌大学EDA实验报告四

南昌大学实验报告

学生姓名:翁学号:专业班级:中兴131

实验类型:验证□综合□设计■创新□实验日期:2015.11.19

实验四、多功能数字钟设计

(一)实验目的

1、学习综合且较复杂数字系统设计;

2、学习多层次、多模块数字系统设计;

3、学习数码管扫描显示电路设计;

(二)设计要求

完成设计、仿真、调试、下载、硬件测试等环节,在型EDA实验装置上由简单到复杂实现多功能数字钟功能,具体要求如下:

1、数码管扫描显示时、分、秒;

2、具有正常计时和调时、调分、调秒等校时功能;

3、经设置应具有整点报时功能;

4、经设置应具有跑表功能;

5、经设置应具有闹钟功能;

以下部分为扩展要求:

6、音乐闹钟功能;

7、液晶显示:年、月、日、时、分、秒、星期;

8、自动闰年、闰月的万年历功能;

(三)实验预习

1、调时、调分、调秒的校时功能。定义寄存器hour(high/low),min(high/low) ,sec(high/low),将时分秒分别设置成高地位,便于数码管扫描显示。通过读取3个开关按键的状态,对hourl,minl,secl做+1调整。

2、整点报时功能。检测检测分、秒,当(minh==5)&(minl==9)&(sech==5)&(sec l==9),点亮LED,维持5秒,作整点报时。

3、跑表功能。分频时对主时钟源分频,得到2个不同频率的计数时钟源,读取开关状态,切换计数时钟源,达到跑表功能。

4、闹钟功能。相对于时分秒(hour,min,sec),定义一个时1分1秒 1 (hour1,min1,sec1),当两者相等时,点亮LED,作闹钟。闹钟时间的调整参考第一点时分秒调整。

5按键设置。

clk:主时钟源(1KHz)

s1:小时调整S1(低电平有效)

s2:分钟调整S2(低电平有效)

s3:调节秒钟S3(低电平有效)

rst:复位(低电平有效)

run:跑表(低电平有效)

K:切换闹钟时间调整。(低电平有效)

m:开始计数(高电平有效)

(四)实验仿真

(五)实验现象及结果

默认状态M=1,run=1,rst=1,k=1,S1=S2=S3=1,由于默认闹钟时间为00:00:00,所以上电的一瞬间LED1亮。将M对应的开关置0,发现停止计数,再置1,继续计数。将run对应的开关置0,测试跑表功能正常。将k对应的开关置0,切换为闹钟时间设置模式,调整S1、S2、S3对应的按键开关,设置闹钟时间01:00:00。当计数到 01:00:00时LED2亮,01:00:01时LED1亮。

(六)实验总结

本次试验不是很难,就是将模可变计数变了一下,注意一下各个功能的协同即可。

其中跑表功能原先不是通过切换时钟源,而是切换计数值,导致走了一些弯路,在老师提醒下马上修正过来。其中实际操作中LED1(闹钟)出现了1s的延时,就是01:00:00的闹钟,在01:00:01的时候LED1才亮。而波形仿真图却没有出现这个问题。终于用FPGA做出了个类似于产品的东西,感觉还是很好的!

附录:(代码太长,截取部分关键代码)

module shuzizhong(clk,SG,sel,rst,s1,s2,s3,run,

k,led1,led2,secl,sech,minl,minh,hourl,hourh,secl1,sech1,minl1,minh1,h ourl1,hourh1,m);

reg clk1;//跑表

always@(posedge clk)

begin

if(run)

clk1<=clk2;

else

clk1<=clk3;

end

always@(posedge clk1) //实现闹铃功能

begin

if((hourh1==hourh)&(hourl1==hourl)&(minl1==minl)&(minh1==minh)&(secl= =(secl1))&(sech==sech1))

begin

led1<=1;

count1<=0;

end

else

begin

if(count1==5)

led1<=0;

else count1<=count1+1;

end

end

always@(posedge clk1) //实现整点报时

begin

if((minh==5)&(minl==9)&(sech==5)&(secl==9))

begin

led2 <= 1; //整点报时指示灯

count2 <= 0;

end

else

begin //整点指示5秒

if(count2==5)

led2<=0;

else count2<=count2+1;

end

end

begin //调整时间

if(!s1)

begin if(k) //按键S1(P25)调整显示时间hour begin

if(hourl==9)

begin hourl<=0;

hourh<=hourh+1;

end

else

begin

if(hourh==2&&hourl==3) begin

hourl<=0;

hourh<=0;

end

else

hourl<=hourl+1;

end

end

WEB编程实验报告---南昌大学

实验报告 实验课程:JA V A WEB编程技术 学生姓名: 学号: 专业班级:物流101班 2013年 06 月 12 日 目录

实验一WEB编程环境......................... 错误!未定义书签。实验二HTML和CSS编程技术 (7) 实验三JA V ASCRIPT编程技术 (10) 实验四SERVLET编程技术 (13) 实验五JSP编程技术 (16) 实验六JA V ABEAN编程技术 (21) 实验七基于MVC模式构建系统 (25) 南昌大学实验报告 学生姓名:学号:专业班级:物流101班

实验类型:□验证□综合■设计□创新实验日期:实验成绩: 一、实验项目一Web编程环境 二、实验目的 第1章搭建Web编程环境,能正确安装配置java运行环境、WEB服务器和数据库服务器 第2章熟悉WEB编程集成环境MYEclipse. 第3章熟练掌握WEB工程的创建、发布、运行流程。 三、实验内容 1.安装并配置java运行环境JDK和JRE 2.安装Web服务器tomcat, 配置Tomcat服务器 3.安装并配置数据库MySQL. 4.安装MyEclispe,熟悉各项菜单项 5.为MyEclispe集成配置JDK和Tomcat 6.创建、发布、运行一个WEB工程。 四、实验仪器及耗材 计算机,JDK,TOMCA T, MySQL, MyEclipse等软件。 五、实验步骤 1.先安装jdk1.6,选择自定义安装,安装到C:\JDK 2.配置环境变量,class:.;C:\JDK\bin , classpath:.;C:\JDK\lib ,java_home: C:\JDK 安装tomcat,安装在C:\ Tomcat 下,配置tomcat_home环境变量,CATALINA_HOME: C: \Tomcat,CATALINA_BASE: C: \Tomcat,TOMCAT_HOME: C:\Tomcat 然后修改环境变量中的classpath,把tomat安装目录下的common\lib下的servlet.jar 追加到classpath中去,修改后的classpath如下: classpath=.;%JAVA_HOME%\lib\dt.jar;%JAVA_HOME%\lib\tools.jar;%CATALINA_HOME%\c ommon\lib\servlet.jar;

南昌大学低电阻测量实验报告

南昌大学物理实验报告 课程名称:大学物理实验 实验名称:低电阻测量 学院:专业班级: 学生姓名:学号: 实验地点:座位号: 实验时间:

其中r1、r2 分别是连接安培表及变阻器用的两根导线与被测电阻两端接头处的接触电阻及导线本身的接线电阻,r3、r4 是毫伏表和安培表、滑线变阻器接头处的接触电阻和接线电阻。通过安培表的电流I 在接头处分为I1、I2 两支,I1 流经安培表和R 间的接触电阻再流入R,I2 流经安培表和毫伏表接头处的接触电阻再流入毫伏表。因此,r1、r2 应算作与R 串联;r3、r4 应算作与毫伏表串联。由于r1、r2 的电阻与R 具有相同的数量级,甚至有的比R 大几个数量级,故毫伏表指示的电位差不代表R 两端的电位差。也就是说,如果利用毫伏表和安培表此时所指示的值来计算电阻的话,不会给出准确的结果。 为了解决上述问题,试把连接方式改为如图2(a)所示的式样。同样用电流流经路线的分析方法可知,虽然接触电阻r1、r2、r3 和r4 仍然存在,但由于其所处位置不同,构成的等效电路改变为图2(b)。由于毫伏表的内阻大于r3、r4、R,故毫伏表和安培表的示数能准确地反映电阻R 上的电位差和通过的电流。利用欧姆定律可以算出R 的正确值。

由此可见,测量电阻时,将通电流的接头(电流接头)a、d 和测量电位差的接头(电压接头)b、c 分开,并且把电压接头放在里面,可以避免接触电阻和接线电阻对测量低值电阻的影响。 这结论用到惠斯通电桥的情况如果仍用单臂电桥测低值电阻R X,则比较臂R b 也应是低值电阻,这样才能在支路电流增大时,从而使R X 的电位差可以跟R1 上的电位差相等。设R1 和R2 都是10Ω以上的电阻,则与之有关的接触电阻和接线电阻的影响可以忽略不计。消除影响的只是跟R X、R b 有关的接触电阻和接线电阻。我们可以这样设想,如图3 所示。应用上面的结论在R X 的A 点处分别接电流接头A1 和电压接头A2;在R b 的D 点处分别接电流接头D1 和电压接头D2。则A 点对R X 和D 点对R b 的影响都已消除。关于C 点邻近的接线电阻和接触电阻同R1、R2、R g 相比可以略去不计。但B1、B3 的接触电阻和其间的接线电阻对R X、R b 的影响还无法消除。为了消除这些电阻的影响,我们把检流计同低值电阻的接头也接成电压接头B2、B4。为了使B2、B4 的接触电阻等不受影响,也象R1、R2 支路一样,分别接上电阻R3、R4 譬如10Ω,则这两支路的接触电阻等同R3、R4 相比较可略去。这样就在单电桥基础上增加两个电阻R3、R4,从而构成一个双臂电桥。但是B1、B3 的接触电阻和B1、B3 间的接线电阻无处归并,仍有可能影响测量结果。下面我们来证明,在一定条件下,r 的存在并不影响测量结果。

惠斯通电桥实验报告南昌大学

南昌大学物理实验报告 课程名称:_____________ 大学物理实验 实验名称:_______________ 惠斯通电桥 学院:___________ 专业班级: 学生姓名:_________ 学号: 实验地点:___________ 座位号: 实验时间:第11周星期4上午10点开始

、实验目的: 1. 掌握电桥测电阻的原理和方法 2. 了解减小测电阻误差的一般方法 、实验原理: (1) 惠斯通电桥原理 惠斯通电桥就是一种直流单臂电桥,适用于测中值电阻,其原理电路如图 7-4所示。若调节电阻到合适阻值时, 可使检流计 G 中无电流流过,即 B 、D 两点的电位相等,这时称为“电桥平衡”。电桥平衡,检流计中无电流通过, 相当于无BD 这一支路,故电源 E 与电阻R ,、R x 可看成一分压电路;电源和电阻 R 1 上面两式可得 R 2 桥达到平衡。故常将 R 、R 2所在桥臂叫做比例 臂,与R x 、R S 相应的桥臂分别叫做测量臂和比 较臂。 V B C 点为参考,贝y D 点的电位V D 与B 点的电位V B 分别为 R 2 R S R S V D R X 因电桥平V B V D 故解 R 2、R S 可看成另一分压电路。若以 R x 为 E 待测电阻,则有 R>< R X R S 上式叫做电桥的平衡条件,它说明电桥平衡时,四个臂的阻值间成比例关系。如果 1 10,10 1等)并固定不变,然后调节 金使电

(2)电桥的灵敏度

n R S R S 灵敏度S 越大,对电桥平衡的判断就越容易,测量结果也越准确。 此时R s 变为R s ,则有:R x R2 R s ,由上两式得R x . R s R s 三、 实验仪器: 线式电桥板、电阻箱、滑线变阻器、检流计、箱式惠斯通电桥、待测电阻、低压直流电源 四、 实验内容和步骤: 1. 将箱式电桥打开平放,调节检流计指零 2. 根据待测电阻(线式电桥测量值或标称值)的大小和 R 3值取满四位有效数字原则,确定比例臂的取值,例如 R 为数千欧的电阻,为保证 4位有效数字,K r 取 3. 调节F 3的值与R <的估计 S _____ S 的表达式 R S R S S-i S 2 _____________________ ES R i R 2 R s R x 1 R E % R i R 2R X Rg 2 R x R s R 2 R - R E 2 R R s R x (3) 电桥的测量误差 电桥的测量误差其来源主要有两方面,一是标准量具引入的误差, 二是电桥灵敏度引入的误差。为减少误差传递, 可采用交换法。 交换法:在测定R x 之后,保持比例臂 R -、R 2不变,将比较臂 R s 与测量臂R x 的位置对换,再调节 R s 使电桥平衡,设 电桥的灵敏程度定义: R i

杨实验三

南昌大学实验报告 学生姓名:学号:专业班级:电气信息三类106班实验类型:□验证□√综合□设计□创新实验日期:实验成绩: 一.实验名称 实验3 控制语句 二.实验目的 1.熟练掌握if 、if…else、if…elseif语句和switch语句格式及使用方法,掌握if语句中的嵌套关系和匹配原则,利用if语句和switch语句实现分支选择结构。 2.熟练掌握while语句、do ...while语句和for语句格式及使用方法,掌握三种循环控制语句的循环过程以及循环结构的嵌套,利用循环语句实现循环结构。 3.掌握简单、常用的算法,并在编程过程中体验各种算法的编程技巧。进一步学习调试程序,掌握语法错误和逻辑错误的检查方法。 三.实验内容 1.选择结构程序设计; 2.if语句的使用; 3.使用switch语句实现多分支选择结构; 4.三种循环语句的应用; 5.循环结构的嵌套; 6.break和continue语句的使用。 三.实验环境 PC微机 DOS操作系统或Windows 操作系统 Visual c++程序集成环境 四.实验内容和步骤 本实验要求事先编好解决下面问题的程序,然后上机输入程序并调试运行程序。学会单步调试和断点调试程序及变量跟踪方法。 1.通过键盘输入一个字符,判断该字符是数字字符、大写字母、小写字母、空格还是其他字符。

.编程:输入一个整数,判断该数的正负性和奇偶性之后,将其数值按照①小于10,②10~99,③100~999,④1000以上四个类别分类并显示。 要求: (1)将变量定义为整型。 (2)输入整数前,利用puts()/printf()给出提示信息。 (3)输出结果时要有必要的说明,例如:输入358时,显示358 is 100 to 999。 (4)该程序利用if语句实现。 运行程序,分别输入9,21,321,4321数据检查输出信息的正确性。

东北大学操作系统第一次实验报告

实验1:熟悉Linux系统 一、题目:熟悉Linux系统 二、目的: 熟悉与掌握Linux系统基本命令,熟悉Linux编程环境,为以后的实验打下基础。 1、启动、退出、ls(显示目录内容)、cp(文件或目录的复制)、mv(文件、目录更名或移动)、rm(删除文件或目录)、mkdir(创建目录)、rmdir(删除空目录)、cd(改变工作目录)… 2、C语言编辑、编译 三、内容及要求: 1、熟练掌握Linux基本文件命令; 2、掌握Linux编辑程序、对源代码进行编译、连接、运行及调试的过程; 3、认真做好预习,书写预习报告; 4、实验完成后要认真总结、完成实验报告。 四、内容及要求: 在Linux环境下编制、调试源程序的实际过程(每一步的具体说明)。 实验2:进程状态 一、题目:进程状态 二、目的: 自行编制模拟程序,通过形象化的状态显示,使学生理解进程的概念、进程之间的状态转换及其所带来的PCB内容、组织的变化,理解进程与其PCB间的一一对应关系。 三、内容及要求 1、设计并实现一个模拟进程状态转换及其相应PCB组织结构变化的程序; 2、独立设计、编写、调试程序; 3、程序界面应能反映出在模拟条件下,进程之间状态转换及其对应的PCB组织的变化。 4、进程的状态模型(三状态、五状态、七状态或其它)可自行选择, 5、代码书写要规范,要适当地加入注释; 6、鼓励在实验中加入新的观点或想法,并加以实现;

7、认真进行预习,完成预习报告; 8、实验完成后,要认真总结,完成实验报告。 四、程序流程图 图4、1 进程转换流程五、使用的数据结构及其说明 struct PCB //进程控制块PCB { char name; //名字标识 string state; //状态 int time; //执行时间 }; typedef struct PCB ElemType; struct QNode { ElemType data; struct QNode *next; }; //链式队列结点 typedef struct QNode QNode; //结点 typedef struct QNode *PNode;

南昌大学化学实验报告

南昌大学化学实验报告 篇一:南昌大学实验报告 南昌大学实验报告 学号:6100512094 专业班级:信息管理与信息系统122班 实验类型:□验证□综合□设计□创新实验日期:XX/4/3 实验成绩: 实验一实验环境的建立 一、实验目的: 1.了解SQL Server XX常用版本和对操作系统的不同要求 2.熟悉SQL Server XX的基本性能 3.正确安装和配置SQL Server XX 二、实验基本原理 SQL即结构化查询语言,是关系数据库的标准语言,SQL 是一个综合的、功能极强同时又简洁易学的语言。它集数据查询、数据操纵、数据定义和数据控制功能于一体。自SQL 成为国际标准语言之后,各个数据库厂家纷纷推出各自的SQL软件或与SQL的接口软件。这就使大多数 数据库均用SQL作为共同的数据存取语言和标准接口,使不同数据库系统之间的互操作有了共同的基础。

三、主要仪器设备及耗材 相互连成LAN的计算机2台以上,windows XX server 操作系统,SQL Server XX安装标准版安装软件。 四、实验步骤 安SQL Server XX:将安装光盘放入CD-ROM,将自动弹出“SQL Server自动菜单”界面,如果没有自动弹出则选择光盘根目录下的autorun.exe,双击运行: 选择运行“安装SQL Server XX组件”进入安装组件界面,选择“安装数据库服务器”。进入安装界面后,按照安装提示进行安装;一般需要人工进行干预的有: 选择安装类型和安装路径:安装类型有:典型安装、最小安装、和自定义安装。安装路径是指SQL Server的系统文件和数据文件的安装位置。默认情况下“安装类型”是典型安装,“安装路径”是操作系统设定的“Program Files”文件夹。你可以自行改变,初次安装最好不要改变他,按默认情况使用; 配置启动服务的帐号:有两类用户帐号:一类是与Windows操作系统的集成帐号,一类是混合帐号。选择第一类帐号进行安装;配置服务器端网络库:SQL Server支持多种网络库,这些网络库必须与操作系统的网络协议共同工作,才能实现客户机与数据库服务器的通信。安装完成后,可以通过操作系统的开始菜单操作:“开始”―>SQL Server”->

南昌大学嵌入式ADC实验

基础实验二ADC 一、实验目的 掌握 S3C2410A 的模/数(A/D)转换器的应用设置,进行电压信号的测量。 二、实验设备 硬件: PC 机一台 MagicARM2410 教学实验开发平台一套 软件:Windows98/XP/2000 系统,ADS 1.2 集成开发环境 超级终端程序(Windows 系统自带) 三、实验内容 使用 AIN0 和 AIN1 测量两路直流电压,并将测量结果通过 UART0 向 PC 机发送。 四、实验原理 S3C2410A 具有 1 个 8 通道的 10 位模数转换器(ADC),有采样保持功能,输入电压范围0~3.3V,在 2.5MHz 的转换器时钟下,最大的转换速率可达 500KSPS。A/D 转换器的AIN5、AIN7 还可以与控制脚 nYPON、YMON、nXPON 和XMON 配合,实现触摸屏输入功能。 为了正确使用 A/D 转换器,需要设置 A/D 转换器的时钟,还有 A/D 转换器的工作模式设置和输入通道选择,这都是通过 ADCCON 寄存器来设置的。然后置位 ADCCON 寄存器的 ENABLE_START 位来控制启动 A/D 转换,读 ADCCON 寄存器的 ECFLG 位来判断 A/D转换是否已经结束。当一次 A/D 转换结束后,通过读 ADCDAT0 寄存器来取得 A/D 转换结果,寄存器的低 10 位数据有效。 五、实验步骤 (1)启动 ADS 1.2,使用 ARM Executable Image for DeviceARM2410 工程模板建立一个工程 ADC01。 (2)在 src 组中的 main.c 中编写主程序代码。 (3)选用 DebugRel 生成目标,然后编译链接工程。 (4)将 MagicARM2410 实验箱上的 UART0 连接跳线 JP1 短接,使用串口延长线把MagicARM2410 实验箱的 CZ11 与 PC 机的 COM1连接。 (5)PC 机上运行“超级终端”程序(在 Windows 操作系统的【开始】->【程序】->【附件】->【通讯】->【超级终端】),新建一个连接,设置串口波持率为 115200,接着呼叫连接(“超级终端”主窗口的【呼叫】->【呼叫】)。 (6)选择【Project】->【Debug】,启动 AXD 进行 JTAG 仿真调试。

南昌大学DSP实验报告

实验报告 实验课程:DSP原理及应用 学生姓名: 学号: 专业班级: 2012年 5月 25日

目录 实验一定点除法运算 实验二FIR滤波器 实验三FFT算法 实验四卷积计算 实验五数码管显示 实验六语音录放

实验一定点除法运算 一、实验目的 1、熟悉C54指令系统,掌握常用汇编指令,学会设计程序和算法的技巧。 2、学习用指令实现除法运算。 二、实验设备 计算机;DSP 硬件仿真器;DSP 实验开发平台。 三、实验原理 由内置的硬件模块支持,数字信号处理器可以高速的完成加法和乘法运算。但TMS320 系列DSP不提供除法指令,为实现除法运算,需要编写除法子程序来实现。二进制除法是乘法的逆运算。乘法包括一系列的移位和加法,而除法可分解为一系列的减法和移位。本实验要求编写一个16 位的定点除法子程序。 1.除法运算的过程设累加器为8 位,且除法运算为10 除以3,除的过程包括与除数有关的除数逐步移位,然后进行减法运算,若所得商为正,则在商中置1,否则该位商为0 例如:4 位除法示例:(1)数的最低有效位对齐被除数的最高有效位00001010 - 00011000 11110010 (2)由于减法结果为负,丢弃减法结果,将被除数左移一位再减00010100 - 00011000 11111000 (3)结果仍为负,丢弃减法结果,将被除数左移一位再减00101000 - 00011000 00010000 (4)结果为正,将减法结果左移一位后把商置1,做最后一次减00100001 - 00011000 00001001 (5)结果为正,将减法结果左移一位加1 得最后结果,高4 位是余数,低4 位商:00010011 2.除法运算的实现为了尽量提高除法运算的效率,’C54x 系列提供了条件减指令SUBC 来完成除法操作。 四、实验步骤 1.用Simulator 方式启动Code Composer。 2 .执行Project New 建立新的项目,输入chuf作为项目的名称,将程序定位在D:\ti\myprojects\chuf目录。 3.执行File New Source File 建立新的程序文件,为创建新的程序文件命名为chuf.asm 并保存;执行Project Add Files to Project,把chuf.asm 加入项目中。4.执行File New Source File 建立新的文件并保存为chuf.cmd;执行Project Add Files to Project,把chuf.cmd 加入项目中。 5.编辑chuf.asm 加入如下内容: ;*** 编制计算除法运算的程序段。其中|被除数|<|除数|,商为小数*** .title "chuf.asm" .mmregs .def start,_c_int00

(完整版)东北大学单色仪定标实验详细过程

首先是实验报告中的记录表格,那本书上并没有给出完整表格,只给了一个表头,我们画表格的时候则要画至少19行(推荐20行乃至21行会更好些),老师在检查完实验报告后说许多人的表格画的不合格,大都是因为行数画少了。 其次就是实验前预习,老师讲解的时候真的会提问的,不过没有扣分就是了。问的问题大致是六个,分别是: 1.单色仪的结构原理 2.单色仪定标的原理 3.单色仪定标的意义 4.如何识别谱图 5.单色仪鼓轮读数怎么读 6.显微镜的使用方法 前3个问题在书中都能找到,后三个问题稍后我会说明,这6个问题也就是整个实验的核心内容,弄懂了这6个问题整个实验操作就不会犯太大的错误。 进教室并将书包放好之后,老师会将实验报告收上来,然后让我们看一段幻灯片(自动播放的),同时她在那检查实验报告,幻灯片的内容就是上述的6个问题的答案,所以万一课前没来得及预习,将幻灯片里的内容记下来也可以。幻灯片结束之后就是老师讲解了,这里我们略过,直接看实验过程吧。

注:单色仪的两狭缝宽度千万不要调! 光谱、读数显微镜与单色仪

透镜和汞灯

以上就是我们实验时用到的仪器。

首先打开汞灯,刚开始不要急着观察,汞灯需要点亮一段时间才能达到最大亮度。 接着是调整单色仪鼓轮的位置 注意:单色仪的鼓轮是配有一个反射镜的(让我拿下去了),单色仪鼓轮上主尺的读数是左大右小(老师可能会问到),和读数显微镜的主尺标示不一样,如上图所示。 而在实验时我们观察单色仪鼓轮读数是通过反射镜来观察,如下图:

从反射镜中看主尺读数就是左小右大了,如此时的读数应为18.311mm左右(主尺上一个格1mm,测微鼓轮一个格0.01mm)。

实验四序列发生器

南昌大学实验报告学生姓名:学号:专业班级:中兴101班 实验类型:□验证□综合■设计□创新实验日期:2012、11、16成绩: 实验四序列信号发生器与检测器设计 一、实验目的 1、学习VHDL文本输入法 2、学习有限状态机的设计 3、利用状态机实现串行序列的输出与序列的检测 4、继续学习优化设计 二.实验内容与要求 1. 设计序列发生器,完成序列为0111010011011010的序列生成器 2.用有限状态机设计序列检测器,实现串行序列11010的检测器 3. 若检测到符合要求的序列,则输出显示位为“1”,否则为“0” 4. 对检测到的次数计数 5.整个工程采用顶层文件+底层模块的原理图或文本的设计思路 三、实验仪器 PC机、Quartus II软件、EDA实验箱 四、实验思路 1.设计序列发生器 基本思想为一个信号CQ1计数,给另一个信号CO(代表序列的每一位)赋值的方法: 先设定端口CQ1用于产生序列时计数,因为序列共16位,因此端口CQ1为标准逻辑矢量,位宽为4,设另一个端口M代表序列的每一位,CQ1每计一个数,就给M赋一个值,这样产生一个16位的序列。由于端口不能参与相关运算,因此在结构体中我分别定义了信号CQ1(标准逻辑矢量,位宽4),信号Q与相应的端口CQ1 CO对应,在进程中参与相应的运算,在程序的最后再用端口接收信号: CO<=Q; 在进程中我采用case –when 语句,如当CQ1为“0000”的时候,给另一信号Q赋‘0’,当CQ1为“0001” 2.序列检测器 序列检测器设计的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及序列,直到在连续的检测中收到的每一位码都与实验要求相同。在此,必须利用状态转移图。 电路需要分别不间断记忆:初始状态、1、11、110、1101、11010共六种状态,状态转移如图:

【免费下载】东北大学物理实验报告

实验一霍尔效应及其应用 【预习思考题】 1.列出计算霍尔系数、载流子浓度n、电导率σ及迁移率μ的计算公式,并注明单位。 霍尔系数,载流子浓度,电导率,迁移率。 2.如已知霍尔样品的工作电流及磁感应强度B的方向,如何判断样品的导电类型? 以根据右手螺旋定则,从工作电流旋到磁感应强度B确定的方向为正向,若测得的霍尔电压为正,则样品为P型,反之则为N型。 3.本实验为什么要用3个换向开关? 为了在测量时消除一些霍尔效应的副效应的影响,需要在测量时改变工作电流及磁感应强度B的方向,因此就需要2个换向开关;除了测量霍尔电压,还要测量A、C间的电位差,这是两个不同的测量位置,又需要1个换向开关。总之,一共需要3个换向开关。 【分析讨论题】 1.若磁感应强度B和霍尔器件平面不完全正交,按式(5.2-5)测出的霍尔系数比实际值大还是小?要准确测定值应怎样进行? 若磁感应强度B和霍尔器件平面不完全正交,则测出的霍尔系数比实际值偏小。要想准确测定,就需要保证磁感应强度B和霍尔器件平面完全正交,或者设法测量出磁感应强度B 和霍尔器件平面的夹角。 2.若已知霍尔器件的性能参数,采用霍尔效应法测量一个未知磁场时,测量误差有哪些来源? 误差来源有:测量工作电流的电流表的测量误差,测量霍尔器件厚度d的长度测量仪器的测量误差,测量霍尔电压的电压表的测量误差,磁场方向与霍尔器件平面的夹角影响等。实验二声速的测量 【预习思考题】 1. 如何调节和判断测量系统是否处于共振状态?为什么要在系统处于共振的条件下进行声速测定? 答:缓慢调节声速测试仪信号源面板上的“信号频率”旋钮,使交流毫伏表指针指示达到最大(或晶体管电压表的示值达到最大),此时系统处于共振状态,显示共振发生的信号指示灯亮,信号源面板上频率显示窗口显示共振频率。在进行声速测定时需要测定驻波波节的位置,当发射换能器S1处于共振状态时,发射的超声波能量最大。若在这样一个最佳状态移动S1至每一个波节处,媒质压缩形变最大,则产生的声压最大,接收换能器S2接收到的声压为最大,转变成电信号,晶体管电压表会显示出最大值。由数显表头读出每一个电压最大值时的位置,即对应的波节位置。因此在系统处于共振的条件下进行声速测定,可以容易和准确地测定波节的位置,提高测量的准确度。 2. 压电陶瓷超声换能器是怎样实现机械信号和电信号之间的相互转换的? 答:压电陶瓷超声换能器的重要组成部分是压电陶瓷环。压电陶瓷环由多晶结构的压电材料制成。这种材料在受到机械应力,发生机械形变时,会发生极化,同时在极化方向产生电场,这种特性称为压电效应。反之,如果在压电材料上加交变电场,材料会发生机械形变,这被称为逆压电效应。声速测量仪中换能器S1作为声波的发射器是利用了压电材料的逆压电效应,压电陶瓷环片在交变电压作用下,发生纵向机械振动,在空气中激发超声波,把电信号转变成了声信号。换能器S2作为声波的接收器是利用了压电材料的压电效应,空气的振动使压电陶瓷环片发生机械形变,从而产生电场,把声信号转变成了电信号。

南昌大学《MATLAB与控制系统仿真》实验报告综述

实验报告 实验课程: MATLAB与控制系统仿真 姓名: 学号: 专业班级: 2016年 6月

目录实验一 MATLAB的环境与基本运算(一) 实验二 MATLAB的环境与基本运算(二) 实验三 MATLAB语言的程序设计 实验四 MATLAB的图形绘制 实验五基于SIMULINK的系统仿真 实验六控制系统的频域与时域分析 实验七控制系统PID校正器设计法 实验八线性方程组求解及函数求极值

实验一 MATLAB的环境与基本运算(一) 一、实验目的 1.熟悉MATLAB开发环境 2.掌握矩阵、变量、表达式的各种基本运算 二、实验基本原理 1.熟悉MATLAB环境: MATLAB桌面和命令窗口、命令历史窗口、帮助信息浏览器、工作空间浏览器、文件和搜索路径浏览器。 2.掌握MA TLAB常用命令 表1 MA TLAB常用命令 3.MATLAB变量与运算符 3.1变量命名规则 3.2 MATLAB的各种常用运算符 表2 MA TLAB算术运算符 表4 MATLAB逻辑运算符

表5 MATLAB特殊运算 4.MATLAB的一维、二维数组的寻访 表6 子数组访问与赋值常用的相关指令格式 三、主要仪器设备及耗材 计算机 四、实验内容 1.新建一个文件夹(自己的名字命名,在机器的最后一个盘符) 2.启动MATLAB,将该文件夹添加到MATLAB路径管理器中。 3.学习使用help命令。 4.窗口命令 ● close ● close all ● clc ● hold on ● hold off 了解其功能和作用,观察command window、command history和workspace等窗口的变化结果。5.工作空间管理命令 ● who ● whos ● clear 6.随机生成一个2×6的矩阵,写出实现矩阵左旋(以第1行第1列为中心逆时针)90°或右旋(顺

南昌大学自动装置实验报告

实验报告 实验课程:自动装置原理 学生姓名: 学号: 专业班级:电力系统及其自动化班

目录实验一:无功调差及自动检测实验 实验二:综合放大及调节特性实验

实验一:无功调差及自动检测实验一、实验目的 1.深入理解调差原理,掌握改变发电机电压调节特性斜率的方法。2.深入了解测量和比较整定电路的结构形式和工作原理。 3.掌握自动检测各个环节的工作特性及其调试方法。 二、实验设备 三、实验内容与步骤 1.无功调差和自动检测实验接线 见图1-9,将三相调压器输出调至 零输出位置,电源开关处于断开 状态,按图接线,接线完毕后要 自行检查接线正确性,然后,请 指导老师检查,确定无误后,接 入交流电源(注意:在整个实验 过程中,由三相调压器输入实验 电路测量变压器1-3T一次侧的电 压不得大于120V“线电压”,并且 U AB=U BC=U CA)。 2.将调差整定开关置于“0”档。 “调试”“运行”插头插入“运行” 位置。“远”“近”控开关置于“近” 控位置。 3.将输入电压调至 U AB=U BC=U CA =105V,按表1-1 要求进行检测: ①检测测量变压器的变比(测 出二次侧线电压进行计算)。 ②检测三相桥式整流器的输出 电压 ③检测二个比较桥上四个稳压 管反向击穿后的稳压值。把各项 测试数据记录在表2-1中。 4.用示波器观察测试整流输出 直流电压叠加的交流纹波。

5.比较桥检测特性实验 实验接线见图1-9,当电压整定电位器RP分别置于“0圈”“5圈”“10圈”位置时,在测量变压器一次侧加入三相交流电压U f,按表1-2改变交流电压输入值,用高内阻电压表测出U f从小到大调节变化过程中各对应点的U CB、U DB、U CD(即△U)及U EB、U FB,记录在表1-2中。 6.根据表1-2中测得的数据绘制检测桥的特性曲线。 四、实验记录表 表1-1 测量变压器变比、整流及稳压管稳压值测试记录表(见下表)。调差电阻“0 AB BC CA 表1-2 比较桥检测特性实验记录表 整定电位器位置不同时,测试交流电压U f与测量桥的输出关系,测量桥输出一点为RP滑动端(C),另一点为4VW c和3R c的连接点(D),即为比较桥输出电压U CD(△U),及U CB、U DB、U EB、U FB各点电位见图1-9。

综合性实验项目:报纸电子编辑基本操作流程

1南昌大学实验报告 ---综合性实验项目:报纸电子编辑基本 操作流程 学生姓名:唐晓君学号:5000110076 专业班级:新闻学101班 实验名称:综合性实验项目名称报纸电子编辑基本操作流程 实验日期:6月1日实验成绩: 一、实验目的及要求: 本次试验要求学生利用所学的只是进行完整的版面编排,旨在强化学生的创 新意识。 二、实验基本原理: 报纸编辑在报纸生产过程中所进行的一系列工作总称为报纸编辑工作。包括 策划、编稿、组版三个业务范围。策划指报纸策划和报道策划;编稿指分析与选 择稿件、修改稿件和制作标题;组版指配置版的内容和设计报纸版面。 编辑工作流程既包括较为宏观的编辑决策与设计工序,又包括具体的编稿、组稿、组版工序。 《90视界》是一群90后精心打造的一份报纸,根据课程进度,我们进行着 不同的工作。在早期的编委会开会讨论中,我们确定好了报纸的编辑方针,设计 报纸的整体规模和内部结构,设计内容、形式与风格特色等,在这个同意的理念 指导下,我们确定好分工,每个人分别负责不同版面的策划、选稿、组版、编辑 等具体工作,大家宏观的编辑决策的指引下保证自己负责的版面与编辑方针相统一,保证整份报纸的统一性。 三、主要仪器设备及实验耗材: 1台教师机、50 台学生机、北大方正飞腾集成排版软件、Photoshop图片处 理软件 四、实验内容或步骤: 1、确定报纸的编辑方针、设计报纸的整体规模和内部结构; (1)、报纸定位说明: 随着21世纪的到来,我国正处于一个大的转型环境下,社会矛盾不断凸显,而随着70、80后在社会上的良好表现,他们现在占据社会生活生产的主流。但 是随着社会的发展和人们的热切期盼,整个社会越来越关注这样一批出生在改革 开放后的新生群体,特别是在2008年期间,社会上对90后这样一个群体进行了 广泛的讨论。因此,我们为了向广大的受众能够从各个方面了解90后这样一个 年轻的、富有朝气的群体提供一些信息,同时也为方便社会各方面讨论90后提 供一个专属平台。 (2)、四开八版小报,周刊。 版面设计:

东北大学c++实验报告

实验六 1?实验要求 (1)定义Point类,有坐标_x, _y两个成员变量;对Point类重载牛+ ”(自增)、“一-(自减)运算符,实现对坐标值的改变。 (2)定义一个车(vehiele)基类,有Run、Stop等成员函数,由此派生出自行车(bicycle)类、汽车(motorcar)类,从bicycle和motorcar派生出摩托车(motorcycle)类,它们都有Run、Stop等成员函数。观察虚函数的作用。 2.实验容及实验步骤 (1)编写程序定义Point类,在类中定义整型的私有成员变量_x_y,定义成员函数Point& operato叶+() ; Point operato叶+(int);以实现对Point 类重载++ ”(自增)运算符,定义成员函数Point& operator ------------------------------ ();Point operator -------- (int);以实现对Point类重载(自减)运算符,实现对坐标值的改变。程序名:1ab8_1. cpp。 ⑵编写程序定义一个车(vehicle)基类,有Run、Stop等成员函数,由此派 生出自行车(bicycle)类、汽车(motorcar)类,从bicycle和motorcar派生出摩托车(motorcycle)类,它们都有Run、Stop等成员函数。在main()函数中定义vehicle、bicycle、motorcar、motorcycle 的对象,调用其Run()、Stop()函数,观察其执行情况。再分别用vehicle类型的指针来调用这几个对象的成员函数,看看能否成功;把Run、Stop定义为虚函数,再试试看。程序名:lab8_2. cpp。 3.源程序 Lab8 1 #in clude using n amespace std;

实验一 一位二进制全加器设计实验

南昌大学实验报告 学生姓名: 学 号: 专业班级: 中兴101 实验类型:■ 验证 □ 综合 □设计 □ 创新 实验日期: 2012 9 28 实验成绩: 实验一 一位二进制全加器设计实验 一.实验目的 (1)掌握Quartus II 的VHDL 文本设计和原理图输入方法设计全过程; (2)熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果; (3) 熟悉设备和软件,掌握实验操作。 二.实验内容与要求 (1)在利用VHDL 编辑程序实现半加器和或门,再利用原理图连接半加器和或门完成全加器的设计,熟悉层次设计概念; (2)给出此项设计的仿真波形; (3)参照实验板1K100的引脚号,选定和锁定引脚,编程下载,进行硬件测试。 三.设计思路 一个1位全加器可以用两个1位半加器及一个或门连接而成。而一个1位半加器可由基本门电路组成。 (1) 半加器设计原理 能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器。或:只考虑两个一位二进制数的相加,而不考虑来自低位进位数的运算电路,称为半加器。图1为半加器原理图。其中:a 、b 分别为被加数与加数,作为电路的输入端;so 为两数相加产生的本位和,它和两数相加产生的向高位的进位co 一起作为电路的输出。 半加器的真值表为 表1 半加器真值表 由真值表可分别写出和数so ,进位数co 的逻辑函数表达式为: b a b a b a so ⊕=+=- - (1) ab co = (2) 图1半加器原理图 (2) 全加器设计原理 除本位两个数相加外,还要加上从低位来的进位数,称为全加器。图2全加器原理图。全加器的真值表如下:

东北大学数值分析实验报告

数值分析设计实验实验报告

课题一 迭代格式的比较 一、问题提出 设方程f 3 - 3x –1=0 有三个实根 x * 1 =1.8793 , x *2=-0.34727 ,x *3=-1.53209现采用下面三种不同计算格式,求 f(x)=0的根 x * 1 或x *2 1、 x = 21 3x x + 2、 x = 3 1 3-x 3、 x = 313+x 二、要求 1、编制一个程序进行运算,最后打印出每种迭代格式的敛散情况; 2、用事后误差估计k k x x -+1? ε来 3、初始值的选取对迭代收敛有何影响; 4、分析迭代收敛和发散的原因。 三、目的和意义 1、通过实验进一步了解方程求根的算法; 2、认识选择计算格式的重要性; 3、掌握迭代算法和精度控制; 4、明确迭代收敛性与初值选取的关系。 四、程序设计流程图

五、源程序代码 #include #include void main() { float x1,x2,x3,q,a,z,p,e=0.00001; x1=-1.0000;x2=-1.0000;x3=1.0000; int i,y=3; printf("0 %f %f %f\n",x1,x2,x3); q=x1-p;a=x2-p;z=x3-p; for(i=1;i<=60;i++) { if(q(0-e)) goto a; else { p=x1; x1=(3*x1+1)/(x1*x1); printf("%d 1 %f\t",i,x1); q=x1-p; }

a: if(a(0-e)) goto z; else { p=x2; x2=(x2*x2*x2-1)/3; printf("%d 2 %f\t",i,x2); a=x2-p; } z: if(z(0-e)) goto end; else { p=x3; x3=pow((3*x3+1),1.0/y); printf("%d 3 %f\n",i,x3); z=x3-p; } end:; } } 六。程序运行结果 七.程序运行结果讨论和分析: 对于迭代格式一、二、三对于初值为-1.0000,-1.0000,1.0000分别迭代了37次,8次,10次,由此可知,简单迭代法的收敛性取决于迭代函数,以及初值x 的选取,并且对初值的选取要求较高,需谨慎选取。

南昌大学PLC实验报告

实验一三相异步电动机接触器点动控制线路 (2) 实验二三相异步电动机的可逆运转控制 (4) 实验三通电延时型控制线路 (6) 实验四可编程控制器的基本指令编程练习 (8) 实验五喷泉的模拟控制 (10) 实验六交通灯的模拟控制 (13) 实验七液体混合的模拟控制 (16)

实验一 三相异步电动机接触器点动控制线路 一、概述 三相笼式异步电机由于结构简单、性价比高、维修方便等优点获得了广泛的应用。在工农业生产中,经常采用继电器接触控制系统对中小功率笼式异步电机进行点动控制,其控制线路大部分由继电器、接触器、按钮等有触头电器组成。 图2是三相鼠笼异步电动机接触器点动控制线路(电机为Y 接法) 起动时,合上漏电保护断路器及空气开关QF ,引入三相电源。按下起动按钮SB2时,交流接触器KM1的线圈通电,主触头KM1闭合,电动机接通电源起动。当手松开按钮时,接触器KM1断电释放,主触头KM1断开,电动机电源被切断而停止运转。 FR1 FU1KM1 QF L1 L2 L3 L KM1 M 3~ FR1 N FU2 FU2 L SB1 SB2 二、实验目的 1、 了解时间继电器的结构,掌握其工作原理及使用方法。 2、 掌握Y-Δ起动的工作原理。 3、 熟悉实验线路的故障分析及排除故障的方法。 三、实验设备 序号 设备名称 使用仪器名称 数量 1 DL-CX-001 三相交流电源 1 2 WD01G 空开、熔断器模块 1 3 WD04G 热继电器模块 1 4 WD09G 按钮模块 1 5 WD02G 接触器模块 1 6 M04 三相鼠笼式异步电动机 1 四、实验内容及步骤 1、检查各实验设备外观及质量是否良好。 2、按图2三相鼠笼式异步电动机接触器点动控制线路进行正确的接线。先接主回路,再接控制回路。自己检查无误并经指导老师检认可后方可合闸通电实验。 (1)、热继电器值调到1.0A 。 (2)、合上漏电保护断路器及空气开关QF ,调节三相电源输出220V 。 (3)、按下起动按钮SB2时,观察电机工作情况,体会点动操作。(注意,操作次数不宜频

南昌大学公差实验报告

实验报告 实验课程: 学生姓名: 学号: 专业班级:

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 实验一、公差与技术测量综合性实验 一、实验目的与要求 为了使学生对《公差与技术测量》这门课程有一个整体、深入的认识,联系提高学生动手能力及工程实践能力。开设该综合性实验,使学生能根据被测量零件,从已有的实验设备中选择合理测量仪器,确定测量方法步骤,并对零件的合格性与否做出相应的判断。 二、主要仪器设备及耗材 1、数字立式光学计 数字立式光学计光学主要用作相对法测量,在测量前先用量块或标准件对准零位,被测尺寸和量块(或标准件)尺寸的差值可在仪器的显示。 使用说明 1)按被测件的验收尺寸组合量块 2)选择测头 3)调整仪器零位 4)工件测量 2、涨簧式内径百分表 主要用于以比较法测量通孔、盲孔或深孔的直径。 使用方法 1)内径百分表的装夹 2)测量方法 根据被测孔的内尺寸选择校对环规,先检查内径百分表的相互作用,然后对零,对好零位,把内径百分表从环规(或千分尺)内取出来。测量时,把涨簧测头放入被测孔内后,摆动表架,找出百分比读数最大值,该值就是被测孔与环规孔径(或千分尺读数)的差。 3、表面粗糙度测量仪 JB-2C粗糙度测试仪可用于轴承内外圈滚道表面粗糙度测量,它利用仪器的测针与被测表面相接触,并使测针沿其表面轻轻划过以测量表面粗糙度的一种测量法。 操作方法 打开微机及主机箱右下侧电源开关 1)进入测量程序 2)调整被测件位置 3)进行测量 4)选择范围 5)设置参数 6)显示和打印 4、量块 量块是由两个相互平行的测量面中心之间的距离来确定其工作长度的一种高精度量具。量块的精度分级又分等1)量块按制造精度分为0、1、2、3、4级,其中0级精度最高,2)按检定精度分为1、2、3、4、5、6等,其中1等精度最高。

相关文档
相关文档 最新文档