文档库 最新最全的文档下载
当前位置:文档库 › Allegro中出Gerber

Allegro中出Gerber

Allegro中出Gerber
Allegro中出Gerber

在allegro中出gerber文件和CAM350中导入

cuijianw 适用范围:

15.2

Allegro

Cadence

Mentor CAM350 8.7

正文:

准备工作:

为了保证出片的正确性,需要在设计PCB文件之前对一些系统参数进行设置,该设置包括画图的精度,图片的尺寸,动态铺铜的格式。

◆ 设置画图的精度。

在allegro中打开Setup->Drawing Size菜单,调出设置对话框,如图1。

图1

在对话框中确定User Units选择Mils,阿Accuracy选择0,其他根据你的尺寸自行定义。

设置完成选择OK按钮,使配置生效。

◆ 设置动态铺铜参数。

在Allegro中打开Shape->Global Dynamic Params菜单,如图2。

图2

打开V oid controls选项卡。如图3。

图3

选择Artwork format和你的出片格式一致,我一般出Gerber 6x00格式的底片,所

以我这里设置的是Gerber 6x00。

出片设置

在Allegro中出gerber文件需要提前设置每个底片包含的层。该设置位于Manufacture->Artwork菜单中。如图4。

图4

首先设置General Parameters选项卡,如图5。

图5

在这里面,一般Device type选择Gerber 6x00,也就是RS274D格式;Format选择Inter places为5,Decimal places为3;Output options中,Use ‘G’ codes选项是可选的,对于比较新的制板机,该选项可以不选择;Output units一般选择Inches,使用英制单位。其他默认即可。

然后开始设置每个底片包含的层。打开Film Control选项卡,如图6。

图6

在Available films中设置底片。默认情况下系统只有TOP和BOTTOM两个底片。我们需要增加。

在底片上单击右键,弹出菜单,如图7。

图7

如果需要增加底片,选择弹出菜单中的Add,出现图8的对话框。

图8

输入底片的名字,就可以新建一个底片。

如果需要删除一个底片,则在弹出的菜单中点击cut,则该底片就被删除了。下面开始向底片中设置层。

展开某一个底片左边的+,查看该底片包含的层,如图9。

图9

鼠标右键单击某一层,可以看到弹出菜单,如图10。

图10

如果需要加入信号层,选择弹出菜单的Add选项,出现选择窗口,如图11。

图11

你可以在Subclass Selection窗口选择需要的层,用鼠标勾选需要加入的层,点击OK按钮,就可以将该层加入对应的底片中。

如果需要删除某一层,仅需要在图10的鼠标右键菜单中选择Cut选项,则该层会从底片中消失。

待所有的底片设置完成,我们准备出片。

出底片和钻孔文件

◆ DRC检查

出底片之前必须要做DRC检查,方法是在Allegro中选择Tools->Update DRC,如果没有出现错误,在系统的状态栏中应该有结果,如图12。

图12

如果有错误,需要先纠正,再出片。

◆ 出钻孔符号表

为了将来钻孔的时候做钻孔检查,需要出钻孔符号表。请在allegro中选择Manufacture->NC->NC Legend菜单,然后系统会出现一个列表,单击鼠标左键可以选择位置将其放置。

◆ 设置光绘范围

在allegro中使用菜单Add->Rectangle命令,在Manufacturing的Photoplot_Outline 子类中加入一个矩形边框,大小以包括PCB电路板和钻孔符号表为准。

◆ 出底片

在allegro中使用菜单命令Manufacture->Artwork,出现如图4的菜单。选择Apertures 按钮,出现镜头生成对话框,如图13。

图13

在对话框中单击Edit按钮,弹出新对话框,如图14所示。

图14

在对话框中单击Auto按钮,在弹出的菜单中选择Without Rotation。如图15。

图15

然后单击OK按钮,关闭该对话框,回到图13的对话框,在该对话框中再单击OK 按钮,回到图4的对话框。此时已经升成了art_aper.txt文件。

在图4的对话框中单击Select All按钮,选择所有的底片。然后单击Create Artwork 按钮,系统开始生成底片。如果一些顺利,底片就生成了。如果出错,系统会弹出错误纪录。

◆ 出钻孔文件

在allegro中,首先记录Manufacturing的Photoplot_Outline子类上画的边框中左下

角的坐标。方法是。打开该子类的显示,然后使用Show Element按钮查看该边框

的属性,就可以找到该坐标。

使用allegro的菜单命令,Manufacturing->NC->NC Drill,出现对话框,如图16。

图16

点击NC Parameters按钮,设置钻孔参数。如图17。

图17

将刚才纪录的光绘外框左下角的坐标输入Offset X和Offset Y中,但是要注意值取相反数,就是如果X坐标是-10000,则要在Offset X中填入10000;Y同。

选中Enhanced Excellon format选项,点击Close按钮。关闭此对话框。回到图16的对话框。

在图16的对话框中的Scale factor填入1,不做缩放;然后选中Auto tool select,设置完成,检查Root file name中的钻孔文件路径和文件名,然后点击Drill按钮,生成钻孔文件。如果没有提示出错,钻孔文件生成完毕。点击close按钮,关闭此对话框。

在allegro中验证底片

在allegro中选择File->New菜单,新建一个brd文件。选择菜单Setup->Subclasses,弹出设置窗口,如图18。

图18

单击MANUFACTURING类,设置其子类。出现设置窗口,如图19。

图19

在New Subclass框中输入需要加入的子类名称,一般该名称和你生成底片的名称对应,马上我们要将每个底片导入对应的子类中。输入完成点击回车键就加入自类,全部输入完成后关闭该对话框。然后回到图18的对话框,点击OK按钮,也关闭该对话框。

在allegro中使用菜单File->Import->Artwork,出现导入Artwork的对话框,如图20所示。

图20

在Filename中选择gerber文件,Format根据gerber文件的类型选择,这里是Gerber 6x00,Class选择Manufacturing,Subclass根据我们刚才的设置,选择底片到对应的子层上。如图21所示。

图21

复选Options中的Display pad targets,使底片显示焊盘。点击Load file按钮,导入该底片。

之后,重复选择,对话框中多了Re-use last mirror/rotation/location选项,点击此选项,可以使今后导入的底片和最先导入的底片位于同样的位置。对话框如图22。

图22

待全部底片导入完成,点击OK按钮,关闭导入对话框。

查看底片的时候,可以根据需要,显示或者关闭对应的class和subclass。

在CAM350中验证底片和钻孔文件

打开CAM350软件,选择菜单File->Import->AutoImport,出现对话框,如图23。

图23

选择包含底片的目录,然后点击Next按钮。出现确认文件的窗口,如图24。

图24

点击Finish按钮,底片开始导入。待导入完成,就可以查看底片和钻孔文件了。如图25。

图25

如果你的gerber文件所在文件夹中包含多个文本文件,CAM350无法确认哪个是真正的镜头文件,其会提示你选择正确的镜头文件,并和底片文件建立联系。此时在图24中Next 按钮有效,如图26所示。

图26

此时点击Next按钮,进入镜头文件选择对话框,如图27。

图27

在图27中,用鼠标左键在Aperture files框中单击镜头文件,然后在右边的框中用鼠标左键单击需要和此镜头文件建立联系的底片文件,则底片文件的右边会显示对应的镜头文件。待全部选择完成,点击finish按钮,CAM350开始自动调入底片。

两层电路板的底片及其说明

bottom ------ 底层布线层

drawing

outline

format/

format/

title_block

drawing

title_data

format/

drawing

board geometry/ outline

bottom

etch/

pin

/bottom

class/

bottom

via

top ------ 顶层布线层

title_block

drawing

format/

title_data

format/

drawing

outline

drawing

format/

board geometry/ outline

etch/

top

top

pin/

top

class/

via

solder_bottom ------ 底层阻焊层

board geometry/ outline

board geometry/ soldermask_bottom

outline

format/

drawing

title_block

format/

drawing

title_data

drawing

format/

soldermask_bottom

geometry/

package

soldermask_bottom

pin/

soldermask_bottom

class/

via

solder_top ------ 顶层阻焊层

board geometry/ outline

board geometry/ soldermask_top

outline

format/

drawing

title_block

format/

drawing

title_data

format/

drawing

soldermask_top

package

geometry/

solermask_top

pin/

soldermask_top

class/

via

silk_bottom ------底层丝印层

Ref des/ silkscreen_bottom

format/

outline

drawing

title_block

format/

drawing

title_data

format/

drawing

silkscreen_bottom

geometry/

package

board geometry/ outline

超实用的一份文档--关于Cadence virtuoso的一些实用技巧

Cadence Virtuoso实用技巧 目录 Cadence Virtuoso实用技巧 (1) 一.关于版图一些实用的快捷键 (2) 二.使用reference window (4) 三.关于Path stitching (6) 四.Placing Pin Arrays(bus pins) (10) 五.在已存在的两个path交错的地方自动打孔 (12) 六.关于Tap的使用 (13) 七.Reshape Objects (15) 八.关于部分选择及相关的操作 (16) 九.关于图形的对齐 (17) 十.Yanking & Pasting图形(即复制-粘贴) (19) 十一.生成Multipart Paths (20) 十二.Search and replace的应用 (24) 十三.提高软件速度的一些环境变量的优化 (25) 十四.快速定义Multipart path的template (26) 十五.用Multipart path生成Tap的skill程序 (32)

一.关于版图一些实用的快捷键 F3:显示Option form F4:Full/Partial 选择切换 N:改变snap model,n---diagonal, Shift+n---orthogonal, Ctrl+n---L90Xfirst Ctrl+y:当多个图形叠在一起时(点击左键默认是两个图形间切换),可以轮流选择重叠的图形 BackSpace:当命令尚未完成时,可以撤销上一次(多次点击可撤销多次)鼠标的点击。如:画path时可撤销前面鼠标错误的点击,选择很多图形stretch,点了reference point发现有多选,可撤销点击,去掉多选图形后再stretch。 Right mouse: a. 没有命令时重复上次命令; b. move和Create instance时逆时针旋转,Shift+Right mouse轮流关于x/y轴对

Allegro中导出Gerber文件和钻孔数据文件方法步骤

Gerber文件是EIA的标准格式,分RS274-D和RS274-X两种,其中RS274-X是RS274-D的扩展文件。生产制造部门在条件许可的情况下,应当尽可能要求用户或设计部门提供RS274-X的Gerber文件,这样有利于各工序的生产准备。 一、准备工作 为了保证出片的正确性,需要在设计PCB 文件之前对一些系统参数进行设置,该设置包括画图的精度,图片的尺寸,动态铺铜的格式。 ◆设置画图的精度。 在allegro 中打开Setup->Drawing Size 菜单,调出设置对话框,如图1 在对话框中确定User Units选择Mils, Size选择C,这样整个作图区域会大一点,相应的作图范围(Drawing Extents)变为Width:22000.00;Height:17000.00 Left X和Left Y为原点坐标。 Accuracy 选择2, 其他根据你的尺寸自行定义。 设置完成选择OK 按钮,使配置生效。 ◆设置动态铺铜参数。 在Allegro 中打开Shape->Global Dynamic Params 菜单,如图2。

Dynamic fill选Smooth üSmooth 勾选后会自动填充、挖空。运行DRC时,在所有的动态shape中,产生底片输出效果的Shape外形 üRough 产生自动挖空的效果,不过只是大体的外形样子,没有产生底片输出效果 üDisable 不执行填充、挖空。 打开Void controls 选项卡。如图3。

选择Artwork format 要与出片格式一致。现在基本上PCB厂都是采用RS274-X。选择Artwork format 和你的出片格式一致 二、出片设置 基本参数设置 1) 选择菜单Manufacture>Artwork…,出现Artwork Control Form 对话框,如下:

Cadence、Allegro技巧—董磊..

目录: 1.Allegro中颜色、字号等设置好以后,保存,新建的封装可以直接导入设置文件 2.相同的布局可以用copy命令。 3.allegro中器件交换、引脚交换 4.旋转多个元件技巧 5.如何将cadence原理图转换成DXP原理图 6.在allergroPCB里面如何显示某个元件的详细信息,如引脚编号等 7.如何查找原理图的某个元件? 8.Allegro查找元件的方法 9.cadence打开时会出现StartPage页,怎样关掉? 10.如何将strokes 文件导入到自己的Allegro里面? 11.如何删除orCAD原理图中的警告错误标志? 12.画出边框如何复制到Rout keepin和Pakage Keepin? 13.orCAD库里的元件做了修改,如何更新到原理图? 14.动态覆铜不避让过孔和走线怎么解决? 15.如何单独增大某个焊盘和过孔与shape的间距? 16.cadence原理图如何批量更新或替换某类元件? 17.cadence怎样批量修改元件的属性? 18.cadence怎样为原理图库的器件添加封装? 19.Allegro里怎样锁定和解锁某元件? 20.导入网表的注意事项: 21.cadence怎样隐藏所有的value? 22.allegro如何导出DXF文件? 23.Allegro怎样查看有没有未完成的布线? 24.Allegro如何将某一网络Cline、shape、vias等更改颜色? 25.Allegro怎样使用想要的颜色高亮某一条线? 26.Allegro里增加阻焊层soldermask(露出铜皮加锡增大导通量) 1.Allegra中颜色、字号等设置好以后,保存,新建的封装可以直接导入设置文件 步骤: a)先设置好适合的颜色、字号等参数。 b)确定打开的是allegro PCB designGXL c)File->export->paramters->选中自己想要导出的,导出到指定文件夹里。

ALLEGRO输出gerber文件的详细设置和步骤

ALLEGRO正确生成gerber文件的详细设置和输出步骤 1.Device type 點選Gerber 6x00 ﹐表示采用Gerber 6x00格式。 2.Film size limits 輸入24﹐16 ﹐表示底片最大尺寸為24 x 16英寸。 3.Coordinate type 點選Absolute , 表示采用絕對坐標。 4.Err action 點選Abort film , 表示遇到錯誤將繼續產生下一張底片。 5.Format輸入5﹐3 ﹐表示數值采用5位整數3位小數。 6.Output options 勾選Optimize data , 表示要資料最佳化的輸出。 7.Supppress 勾選Leading zero , 表示要簡化數值前面的0 ﹔勾選Equal coordinates , 表示要簡化相同的坐標。 8.Output Unit 點選Inches , 表示采用英制單位。 9.Max apertures per wheel 輸入999 , 表示最多可有999個Apertures。 10.Scale factor for output 輸入1 ﹐表示輸出大小的比例為1。

1.Film name : 顯示目前的底片名稱為top。 2.Film rotation : 輸入 0 ﹐表示不旋轉底片。 3.Film offset X,Y : 輸入0 0 ﹐表示底片的X,Y軸偏移量為0。 4.Undefined line width : 輸入6﹐表示在板中線寬為0的線段及文字﹐在底片上以6 mil寬度畫出。 5.Shape bounding box : 輸入100 ﹐表示當Plot Mode 為Negative時﹐由Shape 的邊緣往外畫100 mil黑色區域。 6.Plot mode : 點選Positive , 表示采用正片的畫出格式。 7.Film mirrored : 不勾選此項﹐表示不將底片做左右反轉。 8.Film contact thermal-reliefs : 不勾選此項﹐只有當Plot Mode 為Negative時﹐此項才有作用﹐可不畫出Thermal-Reliefs , 使其成為完全的導通。 9.Suppress unconnected pads : 不勾選此項﹐只有當處理層面為內層的走線層時﹐此項才有作用﹐可不畫出該層未接線的PAD。 10.Draw missing pad apertures : 不勾選此項﹐若勾選此項﹐表示用于當一個Padstack沒有相應的Flach D-Code 時﹐系統可用較小寬度的line D-Code 涂滿此Padstack。 https://www.wendangku.net/doc/3f1410825.html,ee aperture rotation : 不勾選此項,表示將Flash D-Code旋轉后﹐直接套用在Padstack上面。 12.Suppress ahape fill : 不勾選此項﹐只有當Plot Mode 為Negative時﹐此項才有實際功效﹔若有勾選此項﹐表示Shape的外形不畫出﹐使用者必須自行加入分割線(Split Lines),做為Shape的外形﹐如此才不會出錯。

Allegro实用技巧

Allegro实用技巧 1. 如何移动Drill Chart 的位置? 生成过一次Drill Legend,Allegro会记住Drill Chart的位置,如果这个位置放错了,怎么去改变呢? Move--Group 2. 怎么把一整块器件包括走线一起旋转? 先move 圈所有你需要旋转的器件和走线,记得要选择这个 然后左键提起器件和走线,右键选择 Rotate 3. 怎样不显示部分DRC 先Display - Waive DRCs- Blank 选中DRC,然后右键选择 Waive DRC

4. allegro 打印成pdf 文字可查询 allegro打印成PDF后,PDF文件里的文字既不能选中也不能查找,这是因为缺少相应字体的原因,你可以试着换成其他的字体,如下图所示 5. allegro底层丝印pdf打印后如何镜像,plot setup选上mirror 6. 点击菜单manufacture->drafting->fillet再分别点击角的两边就成原角 注:必须要使用add line画边框。 7.allegro导出gerber文件使用CAM350查看drill层钻孔列表显示不全

8. allegro导出gerber文件使用CAM350查看部分钻孔缺少焊盘setup->Areas->Photoplot Outline,将需要显示的页面都框进去

9. allegro如何删除XNet? 先在find里选择Comps 然后选择Edit-->Properties,选择需要去掉Xnet属性的排阻或者电阻出现如下对话框 选择Delete Signal_Model->Apply,Xnet属性即删除。

allegro使用技巧

为了便于大家察看pcb 版,我将Allegro 中遇到的一些细微的东西在此跟大家分享: 1、焊盘空心、实心的显示 经常每个人都有自己视觉的习惯,有些人习惯空心焊盘而有些人则习惯实心的,当面对的板子和你自己的习惯矛盾时,可以用以下的方法来改变: 在菜单中选SetupÆDrawing Options….,会弹出一个对话框:在Display 下的Filled pad 前面打勾,显示的就是实心焊盘,反之就是空心的。 在16.3中则在display菜单下参数设置,display选项卡中 2、Highlight 这个如果没有设定好的话,当我们高亮一个网络或者零件的时候,显示为虚线条,这样当放大屏幕的时候很难看清点亮的东西。没有设定好的话,当我们高亮一个网络或者零件的时候,显示为虚线条,这样当缩小屏幕的时候很难看清点亮的东西。按照如下的方法可以加以设定: 在菜单中选SetupÆUser Preferences…,点选Display,在右侧的Display_nohilitefont 前面打勾,则高亮的物体显示为实心颜色,否则为虚线。这一点实际做一下对比就可以体会到。 3、显示平面层花盘 这点跟第1 点类似,在图一中的Thermal pads 中打勾即可;另外要想显示钻孔,只需选中Display drill holes。 4、DRC 显示为填充以及改变大小 显示填充:同样在图二的对话框中,选中右侧Display_drcfill 即显示填充的drc,否则为空心。 改变大小:在参数设置中显示的对话框中点开drc 则出现对话框: 我们就可以更改drc 的大小,或者开、关drc。 5、改变光标的形状(大十字、小十字等) 用惯PowerPCB 的人可能比较习惯光标是大十字,充满整个屏幕,可以作如下设定: 在图二中,选中左侧Ui,在右侧Pcb_cursor 的下拉菜单中选不同的项,则可以实现不同的设定,其中Cross 是小光标,infinite 是大光标。 6、将整版显示为0mil 的线宽 选中右侧nolinewith 可以实现。 7、动态的显示布线长度 在图二的对话框中选中左侧的Etch,右侧选中Allegro_etch_length_on,这样在布线的时候就可以实时的显示已布线的长度,当然并不是所有时候都方便,有时候可能后觉得碍眼,看情况了。 以上是我已发现的一些东东,不对指出还往指正。这些都是很细节的问题,知道了可能会觉得很简单,不知道的话怎么找也找不着,当然还有很多没有发现的东西,如果你已经发现了,麻烦你告诉我一声,我再有什么发现的话还会继续与大家分享。 1.ALLEGRO 自动布线后,为直角调整成45度角走线: Route-Gloss-Parameters-Convert corner to arc。

allegro输出gerber文件步骤

很多PCB厂家都没有装Allegro软件,所以你不能直接发.brd文件。(很多PCB小厂连ProtelDXP也没有,只支持Protel99) 什么是Gerber文件 Gerber文件是所有电路设计软件都可以产生的文件,在电子组装行业又称为模版文件(stencil data),在PCB制造业又称为光绘文件。可以说Gerber文件是电子组装业中最通用最广泛的文件格式。 Gerber文件是EIA的标准格式,分RS274-D和RS274-X两种,其中RS274-X是RS274-D的扩展文件。生产制造部门在条件许可的情况下,应当尽可能要求用户或设计部门提供RS274-X的Gerber文件,这样有利于各工序的生产准备。 一、准备工作 为了保证出片的正确性,需要在设计PCB 文件之前对一些系统参数进行设置,该设置 包括画图的精度,图片的尺寸,动态铺铜的格式。 ◆设置画图的精度。 在allegro 中打开Setup->Drawing Size 菜单,调出设置对话框. (原文件名:1.JPG) 在对话框中确定User Units选择Mils,

Size选择C,这样整个作图区域会大一点,相应的作图范围(Drawing Extents)变为Width:22000.00;Height:17000.00 Left X和Left Y为原点坐标。 Accuracy 选择2, 其他根据你的尺寸自行定义。 设置完成选择OK 按钮,使配置生效。 ◆设置动态铺铜参数。 在Allegro 中打开Shape->Global Dynamic Params 菜单,如图2。 (原文件名:2.JPG) Dynamic fill选Smooth Smooth 勾选后会自动填充、挖空。运行DRC时,在所有的动态shape中,产生底片输出效果的Shape外形 Rough 产生自动挖空的效果,不过只是大体的外形样子,没有产生底片输出效果 Disable 不执行填充、挖空。 打开Void controls 选项卡。如图3。

orcad cadence使用技巧

orcad/allegro使用小技巧60个问题CAPTURE 一 1、 CAPTURE版本选择 CAPTURE建议使用10.0以上版本。因为9.0的撤消只有一次,用得很郁闷。此外CAPTURE10.0以上版。 CAPTURE10.0以上版本对ALLEGRO的支持更好本增加了从网上原理图库中找元件封装的功能。虽然元件不是很多,但是比自己画方便了很多。我是在画完原理图之后才发现这个功能的。 操作:在原理图编辑窗口点右键,PLACE DATABASE PART再点ICA,然后搜索零件就行了。可以直接放到原理图。 2、命名 (1)、元件编号一定不要重名,虽然文档里不同文件夹内的元件编号可以相同,但是这样会在DRC检测时出问题,所以最好不要这么做。 (2)、CAPTURE的元件库中有两个“地”易弄混。虽然它们的符号不一样。一个叫GND_SIGNAL,另一个叫GND,这个要在使用中要注意。 3、元件封装 (1)、元件封装的引脚不可重名。如GND,要命名为GND_1,GND_2。(2)、为了使原理图摆放更合理,使线交叉更少,经常要调整引脚位置。调整位置的时候建议不要更改库里的东东(如果库里的东东没有大问题),只改放在原理图上的INSTANCE就行了。 操作:在元件上点右键EDIT PART。 (3)、也可以改库里的元件,但会使CACHE里的元件与库里的不一样,想让库里的元件刷新CACHE里的,或删掉CACHE里的,可进行如下操作。 点CACHE里的元件,DESIGH->Replace Cache 或Update Cache. (4)、Cadence不允许符号 . / 而Protel可以,如AXIAL0.4在CAPTURE里要改为AXIAL04或其它名称。 4、方向键使用 CAPTURE的上下左右方向键可以控制鼠标每次移动一个栅格。合理使用方向键可以大大画图效率。例如要添加总线各分支的NET,可以点一次下键,再按一下鼠标左键。 5、模块的使用 模块看起来很舒服的,它直观地表示了各个模块的连接。比只用NET表示要舒服得多,至少我这么认为。 块的原理图可用多次,借用C++的概念,定义了块相当于定义一种数据类型,并未实例化,应用才算实例化。 新建模块时,REFERENCE里写编号,只有一个Reference,Implementation Type 里选Schematic View,Implementation name里写模块所放文件夹的名称,而不是模块文件名。如果一切正确,拖出模块之后,模块的端口会自动出现。根据原理图放置位置再调一下就可以了。

Allegro中出Gerber

在allegro中出gerber文件和CAM350中导入 cuijianw 适用范围: 15.2 Allegro Cadence Mentor CAM350 8.7 正文: 准备工作: 为了保证出片的正确性,需要在设计PCB文件之前对一些系统参数进行设置,该设置包括画图的精度,图片的尺寸,动态铺铜的格式。 ◆ 设置画图的精度。 在allegro中打开Setup->Drawing Size菜单,调出设置对话框,如图1。 图1 在对话框中确定User Units选择Mils,阿Accuracy选择0,其他根据你的尺寸自行定义。 设置完成选择OK按钮,使配置生效。 ◆ 设置动态铺铜参数。 在Allegro中打开Shape->Global Dynamic Params菜单,如图2。

图2 打开V oid controls选项卡。如图3。 图3 选择Artwork format和你的出片格式一致,我一般出Gerber 6x00格式的底片,所

以我这里设置的是Gerber 6x00。 出片设置 在Allegro中出gerber文件需要提前设置每个底片包含的层。该设置位于Manufacture->Artwork菜单中。如图4。 图4 首先设置General Parameters选项卡,如图5。

图5 在这里面,一般Device type选择Gerber 6x00,也就是RS274D格式;Format选择Inter places为5,Decimal places为3;Output options中,Use ‘G’ codes选项是可选的,对于比较新的制板机,该选项可以不选择;Output units一般选择Inches,使用英制单位。其他默认即可。 然后开始设置每个底片包含的层。打开Film Control选项卡,如图6。

allegro 使用技巧

allegro 使用技巧 1. 鼠标设定: 在ALLEGRO视窗 LAYOUT时,每执行一个指令例:Add connect, Show element等鼠标会跳到Option窗口,这样对layout造成不便. 1) 控制面版>滑鼠之移动选项中,指到预设按钮(或智慧型移动):取消“在对话方块将滑鼠指标移到预设按钮”设置 2. Text path设置: 在ALLEGRO视窗LAYOUT时,不能执行一些指令:Show element, Tools>report… 1) 应急办法:蒐寻一个相应的log文档copy到档案同一路径即可. 2) Setup>User Preference之Design_Paths>textpath项设為: C:\cadance\PSD_14.1\share\pcb/text/views即可. 3. 不能编辑Net Logic. 1) Setup>User Perference之项选择logic_edit_enabled,点选為允许编辑Net Logic, 默认為不能编辑Net Logic. 4. 转gerber前需update DRC,应尽量将DRC排除,有些可忽略的DRC如何消除? 1) logo中文字所產生的K/L error,可另外增加一个subclass,这样该文字不用写在ETCH层,可消除K/L error. 2) 有些可忽略的P/P,P/L 的error,可给那些pin增加一个property---NO_DRC, 操 作:Edit/Properties,选择需要的pin,选NO_DRC, Apply, OK 5. 对某些PIN添加了”NO DRC”的属性可ERRO并不能消除﹐这是為什么? 1) “NO DRC”属性只争对不同的网络﹐对相同的网络要清除ERRO,可设定Same net DRC 為off. 6. 如何Add new subclass: 1) Setup>Subclass之Define Subclass窗口选Class,点add”New subclass” 通常用到的new subclass有:Geometry\Board Geometry\之Top_notes, Bottom_notes, Gnd_notes, Vcc_notes等。其作用為gerber中Log之Title/Page name所放层面。 7. 对differential pair nets 之”net space type”properties应怎样设定? 1) 先设定对net 设定一differential pair property, 2) 再在constraints system 控制面板中选择spacing rule nets 栏的attach property nets,并在allegro 窗口control panel的find by name 下选择property, 3) 选取相应property, 4) 再对其套用spacing rule 即可. 8. Hilight时的两种不同的显示方式(实线和虚线) 1) 在setup>user preferences>display中,勾上display_nohilitefont,则以实线显示,不勾则虚线显示,实线比较容易看清 9. 怎样更新Allegro layout窗口下的tool bar和display option设定

Allegro生成GERBER数据流程

Artwork ●在出数据前,必须作Database check :Tools\Database Check… 在没有错误提示出现(有时要作两次检查)后,再查看Drawing Options Status 信息: Display\Status… 对于DRC errors ,可以在Tools\Reports\Design Rules Check Report 查看详细信息。 ●设置NC Parameter

弹出窗口: 生成Drill Legend…

NC Drill….与NC Route…

Manufacture\Artwork… Film Control: 通过Add..添加模板参数设置。

注意: 1.模板参数设置单位为mm. 2.在出数据时需确认XXXX_A_GD1(Drill-Drawing)是否有 MANUFACTURING/NCLEGEND-1-4(MANUFACTURING/NCLEGEND-1-2). 3.在内层负片(正片),用anti etch 勾画出的分割只是便于Split Plane 的create, 在出artwork 数据时没有作用,也就是说在用anti etch line作分割后,再对shape 作编辑,使得shape 与anti etch line 不是完全吻合,也是没有问题的,在出artwork 数据时是以确切的shape 出的! 4.在用anti etch line分割时,先要作route keep in (Through All),再作anti etch line 分割线。 周边的anti etch line 一定要在route keep in 的内侧,否则无法Create Split Plane。 所有的参数设置完成后,即可点Create Artwork,生成GERBER数据。

Allegro使用技巧

Allegro使用技巧------转载 2011-10-31 14:18 1. Allegro颜色设定,保存,调入和显示 1) 采用Script文件纪录板的设定(包括各层颜色) File-->Script... script这个命令是用来记录和调入操作用的。比较多的用处是在一开始的时候调入修改板子不同部分的颜色。或者有的操作需要重复,可以记录下来,直接调用,可以方便很多。我使用比较多的是只显示一部分飞线的操作。有的时候用在两个人合作画一块板子的情况下。不过这种情况下用Sub-Drawing会比较安全和保险。 2) 用Display-->Color Property创建载入显示、不显示的颜色的设定。 这项功能可以用在只显示连线,不显示同一层的铺铜的时候。 2. 如何加入不同的via 1) 用Allegro Utilities->Pad stack工具制作 2) Setup-->constraints...-->Physical (lines/vias)rule set中的Set values...按钮Name栏输入via名Add之即可(注意顶上Constraint Set Name和Subclass) 3. 如何让Allegro显示实心焊盘 Setup-->Drawing Options... Display: Filled pads and Display drill holes 4. 如何让Allegro与Concept-HDL实现反向标注 通常的顺序是原理图(Concept-HDL)打包然后导入Allegro。不过,当我们在Allegro中改变了一些信息之后可以反向标注到原理图中。我碰到的情况是Allegro中使用的某些器件的Auto Rename之后,反向标注回原理图,使得原理图和板子能够保持一致。 具体操作如下: 1)在Allegro中File-->Export-->Logic…注意要在Export to directory中选择正确的路径。 2)在Concept-HDL中File-->Import Physical…注意要在Feedback Board中选择正确的.brd文件。 在Allegro14.2中建议在每次修改完原理图之后习惯性的执行Project Manager中的Design Sync-->Design differences... 5. 如何使用FIX FIX是个比较有用的功能,可以把Cline、Component等保护起来。 具体操作如下: Edit-->Properties,在Find-->Find By Name中选择需要保护的类型,点击More...选择需要 保护的具体内容,点击Apply选择FIX,OK. 我使用这个功能主要是在: 1)对那些decoupling capacitors进行Auto Rename之前,保护住其他电容,这样Auto Rename在反向标注回Concept-HDL的时候才不容易出错。 2)调节线长的时候,把已经修改好的信号FIX起来,防止误操作。 6. 如何使用Sub-Drawing Sub-Drawing我个人认为非常好用。目前工作中几乎每个项目layout的时候都会用到。在两个人合作项目中,有的时候使用会极大的体现其优越性。 保存Sub-Drawing的具体操作如下: File-->Export-->Sub-Drawing,然后在Find面板中选择需要提取的类别。比如Clines、Vias等。然后用鼠标左键框出所要提取的内容,在命令行中键入参考坐标。参考坐标的选择视具体情况而定,通常选用x 0 0。 调入Sub-Drawing的具体操作如下: File-->Import-->Sub-Drawing,然后输入正确的坐标即可。需要注意的是,如果要做text的Sub-Drawing,必须两个.brd文件里面相应的text的参数一样,否则调入的text的大小会按照新的.brd里面的大小改变。 7. 如何方便快捷的Placement 在placement的时候通常的做法是Place-->Manually,然后把器件一个一个调出来,一个一个的输入坐

Allegro输出gerber文件操作规范V1_0

Allegro输 gerber文件操作规范V1.0 1.Allegro中手 添加 层 现在可 借 skill进行一键 的操作,不过不可能 个allegro软件都会装 种skill,因 手 文件 是很有必要的沔首 来介绍如何手 添加 层及相关参数的设置沔 A.手 添加 层面 首 启 命 ,如 图所示 图1 Allegro软件默认的 层如 图所示, 有TOP层和BOTTOM层沔因 , 们根据需要添加相 的层面,在 把 层面分 如 6类 a.装配信息films,分别命 为 顶层装配层assemblytop ADT 和 层装配层 assemblybottom(ADB),共2张films 需要添加的相关信息如 图所示 图2 ADT和ADB的类似 b.信号层films,命 规则 6层板为例 TOP top层 沓G2 G:GND,2 第 二层 沓S3 S signal沓3 第 层 沓P4 P:power沓4 第四层 沓G5(类似G2)沓 BOTTOM bottom层 ,有N层板,就需要N层film 需要添加的相关信息如 图所示

图3 信号层的films都类似 c.钻孔层films 命 为;DRILL,共1张film 实际 drill层 ,在PCB加 商 那里不算film 需要添加的相关信息如 图所示 图4 d.丝印层信息films;分别命 为:SILKTOP和SILKBOT---顶层丝印和 层丝印, 共2张films 需要添加的相关信息如 图所示 图5 SILKTOP和SILKBOT的类似; e.阻焊层信息films 分别命 为:SOLDTOP和SOLDBOT---顶层阻焊和 层阻焊, 共2张films 需要添加的相关信息如 图所示 图6

Allegro实用技巧

目录 静态铜手动避让 (2) 单焊盘设置间距 (2) 铜皮挖空区域删除 (2) 导出封装和焊盘 (4) 跨分区检查: (4) 从原理图输出BOM (5) 放置阵列过孔 (7) 元件封装主要元素 (8) Dynamic Phase:动态相位检查 (8) 焊盘结构: (9) 创建总线: (9) 16.6版本新功能 (10) Lp Wizard 10.5导出的封装没有焊盘 (11) 如何移动Drill Chart的位置? (12) 在PCB中移动元件封装的某一个PIN。 (12) 差分对驱动脚显示 (12) 一些实用skill (12) PDF 打印输出: (13) ORCAD带属性输出PDF (14) Allegro中实现PADS无模Z命令层面切换 (15) 制作输出PDF格式封装库文档 (16) 设置环境参数中color views配置路径 (16) 原理图元件编号更新 (17) 更新原理图离页符 (17) ALLEGRO EXPORT文件后缀格式说明 (19)

静态铜手动避让 1、选中静态铜图标,右键Parameters设置参数。 铜皮挖空区域删除

单焊盘设置间距 选属性菜单,点焊盘编辑增加间距属性。

导出封装和焊盘 跨分区检查: 运行命令Highlight SOV,取消高亮用Dehilight。 设置参数:sov_active_only打勾(只检查当前层),sov_spacing(检查间隙值)。只检查一条或几条网络

从原理图输出BOM K eyed用于设置合并相同的元件,例如一个电路中有10个0.1uF的电容,如果不设置key,则会按标号列出10行来。如果把“值”、“封装”,这两项设为key,就会把这两项相同的电容认为是同一个元件,其他没设置为key的属性会被统一成一个,例如如果有C1~C9的属性“器件名称”叫“电容”,C10的属性“器件名称”叫“陶瓷电容”,则会按照出现的顺序把“器件名称”这个属性统一为电容,列写到同一行。然后调整上下顺序,实现自己要的BOM表。

allegro快捷键

如何设置allegro的快捷键(ZT) 修改变量文件,设置自定义快捷键。 Allegro可以通过修改env文件来设置快捷键,这对于从其它软件如protle或PADS迁移过来的用户来说,可以沿用以前的操作习惯,还是很有意义的。 先说一下Allegro的变量文件,一共有2个,一个是用户变量,一个是全局变量。 用户变量文件的位置,通过系统环境变量设置:系统属性-高级-环境变量,其中的Home值就是env所在目录。要注意的是,这里也有两个变量,一个是用户变量一个是系统变量,在用户变量里设置了Home之后就不需要在系统变量里再设 置了,如果同时设置的话,会以用户变量的为准而忽略系统变量。比如我在用户变量里设置的Home目录为d:\temp,那么env文件就位于d:\temp\pcbenv内。如果没有在系统属性里设置Home变量的路径,那么对于XP,会自动在 用户文件夹\pcbenv内产生env文件。对于2000,pcbenv目录位于C盘根目录下。 全局变量的位置,固定为软件安装目录内,比如我的就 是:d:\cadence\spb_15.7\share\pcb\text内。 通常建议修改用户变量env文件,而不要修改全局变量env文件,至于为什么,我也不知道:) 另外,这2个env文件,用户变量的优先级更高,就是说如果2个文件中的设置出现冲突,那么以用户变量env文件为准。 好了,搞清楚env文件的位置后,我们就可以来修改了。 用户变量env文件,是类似于下面的格式: source $TELENV ### User Preferences section ### This section is computer generated. ### Please do not modify to the end of the file. ### Place your hand edits above this section. ### set autosave_time = 15 set autosave 我们要设置的快捷键必须放置在### User Preferences section之前。 设置快捷键指令格式:

Cadence Allegro16.6生成Gerber步骤

Allegro生成Gerber步骤 一.生成钻孔文件 1.执行Manufacture→NC→NC Parameters,按默认设置,点Close后生成nc_param.txt 。 注意英制和公制 的选择 2.执行Manufacture→NC→NC Drill,如果有盲孔或埋孔,则Drilling中选择By Layer,否则默认,点Drill生成*.drl文件,点击Viewlog可以查看日志信息。

保持默认 3.不规则孔的钻孔文件生成:执行Manufacture→NC→NC Route,默认 可以查看日志信息。 设置,点击Route生成*.rou文件,点击Viewlog Array 4.钻孔表及钻孔图的生成:执行Manufacture→NC→Drill Legend,如果有盲孔或埋孔,则Drilling中选择By Layer,否则默认(单位为mil),点击OK生成*.dlt文件。

此时跟随鼠标会生成一个表格,将它放在PCB 旁边,如下图。 二.生成Gerber 文件 1.设置Gerber 文件参数注意单位选择

注意单位选择,其余都保持默认值 2.切换到Film Control选项卡,设置要输出的Gerber文件。

两层板选择正片 点击Gerber层的下拉菜单,可以看到已经包含的层,选中某个层点击右键,可以Add和Cut。点击Add可以继续在这个Gerber层添加其他的层,点击Cut可以删除已经添加的层。

两层板的Gerber文件要设置10层,这里为了方便擦看,将Board Geometry/Outline放到每一层当中,不单独列出,原来的10层就变为9层了,各层的组成大致如下: [Bottom] →ETCH/Bottom →PIN/Bottom →VIA Class/Bottom →Board Geometry/Outline [Top] →ETCH/Top →PIN/Top →VIA Class/Top →Board Geometry/Outline [Pastemask_Bottom] →Package Geometry/Pastemask_Bottom →Pin/Pastemask_Bottom →Via Class/Pastemask_Bottom →Board Geometry/Outline [Pastemask_Top]

关于Cadence-virtuoso的一些实用技巧

1.关于版图一些实用的快捷键 F3:显示Option form F4:Full/Partial 选择切换 N:改变snap model,n---diagonal, Shift+n---orthogonal, Ctrl+n---L90Xfirst Ctrl+y:当多个图形叠在一起时(点击左键默认是两个图形间切换),可以轮流选择重叠的图形 BackSpace:当命令尚未完成时,可以撤销上一次(多次点击可撤销多次)鼠标的点击。如:画path时可撤销前面鼠标错误的点击,选择很多图形stretch,点了reference point发现有多选,可撤销点击,去掉多选图形后再stretch。 Right mouse: a. 没有命令时重复上次命令; b. move和Create instance时逆时针旋转,Shift+Right mouse 轮流关于x/y轴对称; c. 画path时,L90Xfirst和L90Yfirst之间切换,Ctrl+Right mouse Path自动换层(Path stitching)切换,Shift+Right mouse换层时通孔旋转; d. Reshape和split时,切换不同的高亮区域,以便下一步的操作。

2.使用reference window 一个cellview可以打开两个窗口,一个作为主窗口编辑,另外一个可以放小一点作为参考窗口(即reference window),有点像world view,不同的是主窗口的编辑不仅在参考窗口中可以看到,而且两个窗口中编辑是等效的(当然你的显示器越大,用参考窗口越好,^_^)。 可以用Window – Utilities – Copy Window打开一个参考窗口,也可以直接把一个cellview打开两次,如图 可以同时在两个窗口中编辑

【精】Allegro中导出Gerber文件和钻孔数据文件方法步骤

Allegro中导出Gerber文件和钻孔数据文件方法步骤(一) 什么是Gerber文件Gerber文件是所有电路设计软件都可以产生的文件,在电子组装行业又称为模版文件(stencil data),在PCB制造业又称为光绘文件。可以说Gerber文件是电子组装业中最通用最广泛的文件格式。Gerber文件是EIA的标准格式,分RS274-D和RS274-X两种,其中RS274-X是RS274-D的扩展文件。生产制造部门在条件许可的情况下,应当尽可能要求用户或设计部门提供RS274-X的Gerber文件,这样有利于各工序的生产准备。一、准备工作为了保证出片的正确性,需要在设计PCB 文件之前对一些系统参数进行设置,该设置包括画图的精度,图片的尺寸,动态铺铜的格式。◆设置画图的精度。在allegro 中打开Setup->Drawing Size 菜单,调出设置对话框,如图 1 在对话框中确定User Units 选择Mils,Size选择C,这样整个作图区域会大一点,相应的作图范围(Drawing Extents)变为Width:22000.00;Height:17000.00Left X和Left Y为原点坐标。 Accuracy 选择2,其他根据你的尺寸自行定义。设置完成选择OK 按钮,使配置生效。◆设置动态铺铜参数。在Allegro 中打开Shape->Global Dynamic Params 菜单,如图

2。 Dynamic fill选Smoothü Smooth 勾选后会自动填充、挖空。运行DRC时,在所有的动态shape中,产生底片输出效果的Shape外形ü Rough 产生自动挖空的效果,不过只是大体的外形样子,没有产生底片输出效果ü Disable 不执行填充、挖空。打开Void controls 选 项卡。如图3。选择Artwork format 要与出片格式一致。现在基本上PCB厂都是采用RS274-X。选择Artwork

Allegro PCB Editor使用技巧

Allegro PCB Editor使用技巧 1.如何建立差分线? 对于建立差分线本属于Constraint Manager设定中的一部分,鉴于有些板子不涉及其它约束,只是单纯的差分走线,则有以下两种方法实现,第一种是在原理图中设定,直接将差分特性导入到PCB中,步骤为Tools -> Create Differential Pair,将差分对线添加到右边,并且输入差分对的名称即可。第二种是在Constraint Manager中,在Physical一栏中的net中选中差分线,右键Create-> Differential Pair,后续的操作与前面相同,在差分对中主要的是线宽线矩的设定,可以直接在差分线Name后面Differential Pair列表中设定参数。 2.如何将PWR、GND的飞线在PAD上显示成叉? Edit -> Properties,在Find By Name下面点击More按钮,在跳出来的Find By Name or Property 对话框中,Object type 选择Net之后,将GND Net添加到右侧,点击Apply后,弹出Edit Property对话框,在Available Properties中选择Ratsnets_Schedule,将右边的Value值改为POWER_AND_GROUND,点击Apply,OK即可。 3.如何使用Setup -> User Preferences? 相关软件功能的设置大部分都可以在这里设置,最常用的设置有以下几种 Display->Shape_fill,将no_shape_fill在Value中勾选,可以将已铺铜隐藏,相反则显示。Path-> Library,padpath、psmpath路径将设定pcb库的路径。 Route-> Connect,allegro_dynam_timing,可以设置等长线时显示布线长度 有关设置光标显示形状,是否可编辑NetName等都可以设定。 4.如何修改Pad或更新footprint? 当有关元件封装尺寸做过修改后,可在Place-> Update Symbols下,Package symbols中选择相同名称的封装,配额下面的复选框,点击Refresh则可更新,对于更常见的修改Smd Pad 或Through Hole时,则可直接在Tools-> Padstack下第一、二个选项更改lib或当前Design中的Pad尺寸。 5.如何放置过孔阵列或者沿着某个边界放置? 其实该操作并不难,只是几个参数的设定以及如何放置的问题,首先Place->Via Arrays,选择Matrix,在Options中做一些设定,其中主要参数Via net and padstack,matrix parameters,假如设定参数如下:via-boundary offset(边界偏移量):15,Horizontal Via-Via gap(过孔水平间距):30,Vertical via-via gap(垂直间距)30,设定好之后,在要放置过孔阵列的地方用鼠标画一个矩形,点击左键,点击右键Done,ok。对于防止电磁辐射需要在板边放置一周过孔的可以选择Boundary,操作大体同上,不再赘述。 6.如何添加快捷键? 在软件的安装盘中找到SPB_Data/pcbenv/env文件,在env文件中加入下列几行代码:source $TELENV //文件中已有 alias g define grid alias t add text funckey ' ' angle 90 则可实现相应的快捷操作,上面三行作为示例: alias g define grid 表示在allegro 软件命令行中输入g,Enter后跳出栅格设置对话框alias t add text 表示在allegro 软件命令行中输入t,Enter后只直接输入Text funckey ' ' angle 90 表示在你选中某个元件时,按空格键即可旋转90度 其它快捷键可按个人喜好在后面添加。 7.如何出光绘? 其实这个问题并非难操作,只是在工作中有很多同事并没有真的清楚,我们知道,一块PCB

相关文档
相关文档 最新文档