文档库 最新最全的文档下载
当前位置:文档库 › 微电子工艺2022试卷--张建国-答案

微电子工艺2022试卷--张建国-答案

微电子工艺2022试卷--张建国-答案学院姓名学号任课老师考场教室__________选课号/座位号

………密………封………线………以………内………答………题………无………效……

电子科技大学2022-2022学年第二学期期末考试B卷

课程名称:微电子工艺考试形式:开卷考试日期:20年月日考试时长:120分钟课程成绩构成:平时10%,期中%,实验%,期末90%本试卷试题由三部分构成,共4页。

题号得分得分一、简答题(共72分,共12题,每题6分)

1、名词解释:集成电路、芯片的关键尺寸以及摩尔定律

集成电路:多个电子元件,如电阻、电容、二极管和三极管等集成在基片上形成的具有确定芯片功能的电路。

关键尺寸:硅片上的最小特征尺寸

摩尔定律:每隔12个月到18个月,芯片上集成的晶体管数目增加一倍,性能增加一倍

2、MOS器件中使用什么晶面方向的硅片,双极型器件呢?请分别给出原因。

MOS:<100>Si/SiO2界面态密度低;双极:<111>生长快,成本低

3、倒掺杂工艺中,为形成p阱和n阱一般分别注入什么离子?为什么一般形成P阱所需的离子注入能

量远小于形成n阱所需的离子注入能量?PMOS管一般做在p阱还是n

阱中?

P阱:注B;N阱:注P。B离子远比P离子要轻,所以同样注入深度,注P所需能量低PMOS管做在n阱中

4、解释质量输运限制CVD工艺和反应速度限制CVD工艺的区别,哪

种工艺依赖于温度,为什么LPCVD

淀积的薄膜比APCVD淀积的薄膜更均匀?

质量输运限制CVD:反应速率不能超过传输到硅片表面的反应气体的

传输速率。反应速度限制CVD:淀积速度受到硅片表面反应速度的限制,

依赖于温度。

LPCVD工作于低压下,反应气体分子具有更大的平均自由程,反应器

内的气流条件不重要,只要控制好温度就可以大面积均匀成膜。

一二三四五六七八九十合计第1页共6页

学院姓名学号任课老师考场教室__________选课号/座位号

………密………封………线………以………内………答………题………无………效……

5、解释为什么目前CMOS工艺中常采用多晶硅栅工艺,而不采用铝栅

工艺?

多晶硅栅工艺优点:

1、通过掺杂得到特定电阻

2、和二氧化硅更优良的界面特性

3、后续高温工艺兼容性

4、更高的

可靠性

5、在陡峭的结构上的淀积均匀性

6、能实现自对准工艺

6、现在制约芯片运算速度的主要因素在于RC延迟,如何减少RC延迟?

办法:1、采用电导率更高的互连金属,如Cu取代Al2、采用低K质

介质取代SiO2作为层间介质

7、列出引入铜金属化的五大优点,并说明铜金属化面临的三大问题,如何解决这些问题?

优点:1、电阻率减少,RC延迟减少2、减少功耗

3、更高的集成密度

4、良好的抗电迁移特性

5、更少的工艺步骤

问题:1、铜的高扩散系数,有可能进入有源区产生漏电2、不能采

用干法刻蚀3、低温下很快氧化

办法:采用大马士革工艺、增加铜阻挡层金属

8、解释什么是硅栅自对准工艺,怎么实现以及有何优势。

提供稳定的金属半导体接触结构、减小源和漏区接触电阻以及栅极和

源极以及漏极的寄生交叠电容的工艺。

实现过程:侧墙形成—过渡金属(如Ti)PVD淀积—低温RTP—氨水

和双氧水混合液湿法化学腐蚀—高温RTP。

主要优点在于避免光刻的对准误差。

9、化学放大如何在光刻胶中实现?为什么要对化学放大深紫外光刻

胶进行后烘?对化学放大深紫外光

刻胶,PHS树脂与显影液之间是否发生了化学反应?实现:采用一种

光酸产生剂(PAG),进行酸致催化反应而增加DNQ酚醛树脂的敏感性。

这种酸仅在曝光区中产生。

后烘:化学放大光刻胶含有化学保护成分使其不溶解于显影液。曝光

后曝光区域由PAG产生酸,在后烘步骤加热时,通过催化反应将保护基团

移走,曝过光的区域树脂可溶于显影液。PHS树脂与显影液之间没有发生

化学反应。

10、什么是离子注入时的沟道效应?列举出三种控制沟道效应的方法。

沟道效应:单晶硅原子为长程有序排列,当注入离子未与硅原子碰撞

减速,而是穿透了晶格间隙时,

第2页共6页

学院姓名学号任课老师考场教室__________选课号/座位号

………密………封………线………以………内………答………题………无………效……

就发生了沟道效应,使预期的设计范围(如掺杂深度和浓度)大大扩展。方法:1、倾斜硅片2、掩蔽氧化层3、预非晶化11、列举出3种抑

制CMOS电路中闩锁效应(Latchup)的方法?

方法:1、深埋层2、倒掺杂阱

3、采用SOI基片

4、采用外延层

12、简述有哪几种平坦化工艺,为什么CMP对现今深亚微米光刻很关键?要实现铜金属化必须要采用

CMP,为什么?

反刻、玻璃回流、旋涂膜层以及化学机械平坦化(CMP)

表面起伏使光刻时对线宽失去控制,无法在光刻平面内对准;而通过CMP平坦化硅片表面可以减少焦深从而获得较高的图形分辨率。

铜不能利用于干法刻蚀,而要形成铜金属互连,只能采用CMP实现大马士革工艺。得分二、作图题(共12分)

1、简单示意画出制作在P+硅衬底的P-外延层上的PMOS管的剖面结构示意图,并标注出电极以及阱、

源区和漏区的掺杂类型。(3分)

2、从LOCOS工艺和STI工艺这两种隔离工艺中任选一种画出形成隔离氧化硅的工艺流程图,包括基本

的介质层生长(氧化和淀积)、光刻(请注明正胶、负胶)、以及刻蚀工艺。(9分)LOCOS隔离工艺

第3页共6页

学院姓名学号任课老师考场教室__________选课号/座位号

………密………封………线………以………内………答………题………无………效……

STI隔离工艺

第4页共6页

学院姓名学号任课老师考场教室__________选课号/座位号

………密………封………线………以………内………答………题………无………效……

得分三、计算题(共16分)

1、硅片热氧化生长遵从如下公式:t2o某+Ato某=B(t+τ),其中to某为硅片经过t时间后SiO2的生长厚度(μm);

B为抛物线速率系数(μm2/h);B/A为线性速率系数(μm/h);τ为生成初始氧化层(同一工艺参数)所用的时间(h)。我们希望通过对一初始表面氧化层厚度为0的硅片进行一2段氧化过程:干氧(0.5h)—湿氧(2h)来生成厚的SiO2薄膜作为隔离场氧层。干氧温度为1100℃,湿氧水汽氧化温度为920℃。已知:920℃下水汽氧化相关工艺参数分别为:

A=0.50μm,B=0.20μm2/h;1100℃下干氧氧化相关工艺参数分别为:

A=0.09μm,B=0.03μm2/h。试计算:a、0.5h内干氧生成的SiO2厚度(μm);(2分)b、2h内湿氧水汽氧化所生成的SiO2厚度(μm);(4分)c、整个氧化过程所消耗的硅层的厚度(μm)。(2分)提示:在计算a、b时请注意,需要通过之前的初始SiO2层厚度来确定对应氧化步骤的初始SiO2层生长时间τ(非真实生长时间,令t=0即得)。

解:(a)∵t2o某+Ato某=B(t+τ),又∵初始氧化层厚度为0;

∴τ1=(t2o某+Ato某)/B=0h

∵t2o某+Ato某=B(t+τ1),又∵t1=0.5h;

∴t2o某+0.09to某=0.03某(0.5+0);即to某=0.0855μm

答:0.5h内干氧生成的SiO2厚度为0.0855μm。

(b)∵t2o某+Ato某=B(t+τ2),又∵湿氧时初始氧化层厚度为

0.0855μm;∴τ2=(t2o某+Ato某)/B=0.25h

∵t2o某+Ato某=B(t2+τ2),又∵t2=2h;

∴t2o某+0.5to某=0.2某(2+0.25);即to某=0.4659μm

答:2h内湿氧水汽氧化所生成的SiO2厚度为0.4659μm。

(c)总的硅片氧化生成的二氧化硅厚度to某=

0.0855+0.4659=0.5514μm∴消耗的硅层厚度为tSi=0.5514某

0.45=0.2481μm

答:整个氧化过程所消耗的硅层的厚度为0.2481μm。

2、绝缘层上硅(SOI)材料现在在抗辐照超大规模集成电路中得到广

泛的应用。我们希望采用大束流氧

离子注入机制备12英寸SOI材料,注入时扫描面积为30cm某30cm,Si相对原子质量ArSi为28,单晶Si体密度ρSi为2.30g/cm3,阿伏伽

德罗常数NA=6.02某1023/mol,e=1.6某10-19C。假定注入前后体积不变,注入离子的浓度在注入深度范围内均匀分布。试计算:

a、注入前单晶Si中Si原子的体密度,即每立方厘米体积有多少Si

原子?(2分)(提示:Si原子体

密度NSi=(ρSi/ArSi)某NA)(2分)

b、要形成SOI材料,注入O原子的体密度为多少?(提示:要通过O离子注入形成SiO2埋层,O原子体密度应该为Si原子体密度几倍?)(2分)

c、形成单片SOI基片时O+离子注入时间控制在1小时,SiO2层厚度为100nm,那么所需要的注入束流是多少毫安(mA)?(提示:剂量=注入原子的面密度,而面密度=体密度某厚度)(4分)解:

(a)∵NSi=(ρSi/ArSi)某NA;

第5页共6页

学院姓名学号任课老师考场教室__________选课号/座位号

………密………封………线………以………内………答………题………无………效……

∴NSi=(2.3/28)某6.02某1023=4.9449某1022/cm3

答:注入前单晶Si中Si原子的体密度为4.9449某1022/cm3。

(b)∵要通过O离子注入形成SiO2埋层,O原子体密度应该为Si原子体密度的2倍∴NO=4.9449某1022某2=9.8898某1022/cm3

答:要形成SOI材料,注入O原子的体密度NO为9.8898某1022/cm3。

(c)∵剂量=注入原子的面密度,而面密度=体密度某厚度∴注入剂量Q=NO 某100某10-7=9.8898某1017/cm2

∵剂量

QItenAQenA9.889810171.61019130300.039559A39.559mA∴It6060答:所需要的注入束流是39.559mA。

第6页共6页

学院姓名学号任课老师考场教室__________选课号/座位号

………密………封………线………以………内………答………题………无………效……

∴NSi=(2.3/28)某6.02某1023=4.9449某1022/cm3

答:注入前单晶Si中Si原子的体密度为4.9449某1022/cm3。

(b)∵要通过O离子注入形成SiO2埋层,O原子体密度应该为Si原子体密度的2倍∴NO=4.9449某1022某2=9.8898某1022/cm3

答:要形成SOI材料,注入O原子的体密度NO为9.8898某1022/cm3。

(c)∵剂量=注入原子的面密度,而面密度=体密度某厚度∴注入剂量Q=NO 某100某10-7=9.8898某1017/cm2

∵剂量

QItenAQenA9.889810171.61019130300.039559A39.559mA∴It6060答:所需要的注入束流是39.559mA。

第6页共6页

2011级微电子工艺学试卷(A卷)参考答案

同时,通过减小源漏区的结深,抑制短沟效应。(√) 10、CMOS中,阱可为单阱(single well)、双阱(twin well)或是倒退阱(retrograde well)。单阱工艺有 一些缺点,如要达到2~3μm的深度,需要超过1050oC的高温及长达8h的扩散时间。这种工艺中, 表面掺杂浓度最高,掺杂浓度随着深度递减。为了降低工艺温度和时间,可利用高能离子注入将 离子直接注入到想要的深度而不需通过表面扩散。深度由离子注入的能量来决定,因此可用不同 的注入能量来设计不同深度的阱。阱中的杂质浓度峰值位于硅衬底表面,因而被称为倒退阱。(×) 二、在给出的选项中选择一个正确的序号填在题后括号中。(每小题2分,共20分) 1、德州仪器公司的科学家被视为微电子时代的先行者之一。他发明了第一块单 片集成电路,为半导体器件的微型化和集成化奠定了基础,目前这个趋势仍然在继续。因在发明集 成电路方面所取得的成就,他于2000年获得诺贝尔物理奖。(D) A. Gordon Moore B. Robert Noyce C. William Shockley D. Clair Kilby 2、热氧化制备SiO2层时,在氧化气氛中加入氯可以使SiO2的质量得到很大改善,并可以增大氧化 速率。氯的作用主要有以下方面:钝化可动离子,特别是钠离子;增加硅中少数载流子的寿命;减 少中的缺陷,提高了抗击穿能力;降低界面态密度和固定电荷密度;。(D) A. 减少界面陷阱电荷 B. 减少氧化层固定电荷 C. 减少热载流子效应 D. 减少硅中的堆积层错 3、传统的隔离工艺有一些缺点,使得其不适合于深亚微米(小于0.25μm)工艺。硅的高温氧化与长 氧化时间造成用于沟道阻断的注入离子(对n沟道MOSFET而言,通常为硼)侵入有源区域并导致 阈值电压V T偏移。因此,横向氧化会导致有源区域的面积减小。此外,在亚微米隔离间隔中,场 氧化层的厚度明显小于生长在宽间隔中的场氧化层。技术可以避免这些问题,且 已成为隔离的主流技术。(A) A. 沟槽隔离 B. 化学机械抛光 C. 形成n+?p结埋层 D. 局部氧化 4、在n?p?n双极型晶体管的基本制作程序中,需要一道光刻工艺规定用于分离基区与发射区接触 区域的氧化层区域。这会造成在隔离区域内有一大块不起作用的器件面积,不但会增加寄生电容, 也会增加导致晶体管特性衰退的电阻。降低这些不利效应的最佳方法是使用。(D) A. 多晶硅填满沟槽 B. 非晶硅填满沟槽 C. 双多晶硅层 D. 自对准(self?aligned)结构 5、磁控溅射通过在靶电极后施加磁场,延长电子在等离子场中的运动轨迹,有效提高电子与气体 分子的碰撞几率,是目前应用最广泛的溅射方法。与直流和射频溅射方法相比,下面对其优点的描 述不正确的是。(C) A. 薄膜致密度提高 B. 淀积速率提高 C. 工作气压提高 D.薄膜被污染可能性降低 6、当杂质掺杂浓度较低时,假设扩散系数与掺杂浓度和位置无关,我们可以在两种不同的边界条 件和初始条件(分别称为恒定源或有限源条件)下对费克(Fick)第二定律求分析解,得到杂质的第1页共4页 一 、 密 封 线 内 不 准 答 题 。 二 、 姓 名 、 学 号 不 许 涂 改 , 否 则 试 卷 无 效 。 三 、 考 生 在 答 题 前 应 先 将 姓 名 、 学 号 、 年 级 和 班 级 填 写 在 指 定 的 方 框 内 。 四 、 试 卷 印 刷 不 清 楚 。 可 举 手 向 监 考 教 师 询 问 。 所在专业、班级 注意

微电子工艺_哈尔滨工业大学中国大学mooc课后章节答案期末考试题库2023年

微电子工艺_哈尔滨工业大学中国大学mooc课后章节答案期末考试题库2023年 1.CZ法拉不出高阻单晶硅锭的主要原因是: 答案: 坩埚材料分解出的氧会进入硅锭; 2.实际VPE工艺温度多在质量传递控制区,此时外延速率: 答案: 对温度不太敏感; 3.关于硅的热氧化,下面哪种说法正确: 答案: 氧化反应是在Si/SiO2界面发生的; 4.在D-G模型中假定稳定生长氧化层时,氧化剂的气相输运、固相扩散和化 学反应三个流密度应: 答案: 相等;

5.基于LSS理论,离子注入受到靶原子核与电子的阻止: 答案: 核阻止和电子阻止是独立的; 6.多晶硅薄膜通常采取哪种方法制备: 答案: LPCVD 7.PVD与CVD比较,下列那种说法正确: 答案: PVD薄膜与衬底的粘附性较差; 8.外延用衬底硅片一般偏离准确晶向一个小角度,如(111)-Si偏离3º,下 列那种说法正确? 答案: 这是为了得到原子层量级的台阶;

这是为外延生长提供更多的结点位置; 9.硅恒定源扩散,在扩散温度硅的固溶度为N s,在进行了40min扩散后,测 得结深是1.5μm,若要获得2.0μm的结深,在原工艺基础上应再扩散多少分钟?硅表面杂质浓度是多少? 答案: 应再扩散31 min 杂质表面浓度=N s 表面杂质浓度等于该工艺温度时硅的固溶度; 10.P在两歩扩散工艺中,第二步再分布的同时又进行了热氧化(kp=10),这 会给再分布扩散带来哪些影响: 答案: P扩散速度加快; 在SiO2/Si界面Si一侧的P堆积(是指高于SiO2一侧); 扩入Si的P总量下降; 11.扩散系数是表征扩散快慢的参数,它相当于单位浓度梯度时的扩散通量,所 以它: 答案:

最新微电子学概论复习题及答案(详细版)..

期末考试神奇复习资料 第一章 绪论 1.画出集成电路设计与制造的主要流程框架。 2.集成电路分类情况如何? ⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪ ⎪⎪ ⎪ ⎪ ⎪ ⎩ ⎪ ⎪⎪⎪ ⎪⎪⎪ ⎪⎪⎪⎪⎪⎪⎪⎪⎪⎪⎨⎧⎪⎪⎪⎪⎩⎪⎪⎪ ⎪ ⎨⎧⎩⎨⎧⎩⎨⎧⎪⎪⎪⎪⎩⎪⎪⎪⎪⎨⎧⎪⎪⎪⎪⎪⎪⎩⎪⎪⎪⎪⎪⎪⎨⎧⎩⎨⎧⎪⎪⎪ ⎪⎩⎪⎪⎪⎪⎨⎧⎩⎨⎧⎪⎩⎪ ⎨⎧按应用领域分类数字模拟混合电路非线性电路线性电路模拟电路时序逻辑电路组合逻辑电路数字电路按功能分类GSI ULSI VLSI LSI MSI SSI 按规模分类薄膜混合集成电路厚膜混合集成电路混合集成电路B iCMOS B iMOS 型B iMOS CMOS NMOS PMOS 型MOS 双极型单片集成电路按结构分类集成电路 3.微电子学的特点是什么? 微电子学:电子学的一门分支学科 微电子学以实现电路和系统的集成为目的,故实用性极强。 微电子学中的空间尺度通常是以微米(m, 1m =10-6m)和纳米(nm, 1nm = 10-9m)为单位的。 微电子学是信息领域的重要基础学科 微电子学是一门综合性很强的边缘学科 涉及了固体物理学、量子力学、热力学与统计物理学、材料科学、电子线路、信号

处理、计算机辅助设计、测试与加工、图论、化学等多个学科 微电子学是一门发展极为迅速的学科,高集成度、低功耗、高性能、高可靠性是微电子学发展的方向 微电子学的渗透性极强,它可以是与其他学科结合而诞生出一系列新的交叉学科,例如微机电系统(MEMS)、生物芯片等 4.列举出你见到的、想到的不同类型的集成电路及其主要作用。 集成电路按用途可分为电视机用集成电路、音响用集成电路、影碟机用集成电路、录像机用集成电路、电脑(微机)用集成电路、电子琴用集成电路、通信用集成电路、照相机用集成电路、遥控集成电路、语言集成电路、报警器用集成电路及各种专用集成电路。 5.用你自己的话解释微电子学、集成电路的概念。 集成电路(integrated circuit)是一种微型电子器件或部件。采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。 6.简单叙述微电子学对人类社会的作用。 可以毫不夸张地说,没有微电子技术的进步,就不可能有今天信息技术的蓬勃发展,微电子已经成为整个信息社会发展的基石。随着微电子的发展,器件的特征尺寸越来越小第二章半导体物理和器件物理基础 1.什么是半导体?特点、常用半导体材料 什么是半导体? 金属:电导率106~104(W∙cm-1),不含禁带; 半导体:电导率104~10-10(W∙cm-1),含禁带; 绝缘体:电导率<10-10(W∙cm-1),禁带较宽; 半导体的特点: (1)电导率随温度上升而指数上升; (2)杂质的种类和数量决定其电导率; (3)可以实现非均匀掺杂; (4)光辐照、高能电子注入、电场和磁场等影响其电导率; 硅:地球上含量最丰富的元素之一,微电子产业用量最大、也是最重要的半导体材料。 硅(原子序数14)的物理化学性质主要由最外层四个电子(称为价电子)决定。每个硅原子近邻有四个硅原子,每两个相邻原子之间有一对电子,它们与两个原子核都有吸引作用,称为共价键。 化合物半导体:III族元素和V族构成的III-V族化合物,如,GaAs(砷化镓),InSb(锑化铟),GaP(磷化镓),InP(磷化铟)等,广泛用于光电器件、半导体激光器和微波器件。2.掺杂、施主/受主、P型/N型半导体(课件) 掺杂:电子摆脱共价键所需的能量,在一般情况下,是靠晶体内部原子本身的热运动提供的。常温下,硅里面由于热运动激发价健上电子而产生的电子和空穴很少,它们对硅的导电性的影响是十分微小的。室温下半导体的导电性主要由掺入半导体中的微量的杂质(简称掺杂)来决定,这是半导体能够制造各种器件的重要原因。 施主:Donor,掺入半导体的杂质原子向半导体中 提供导电的电子,并成为带正电的离子。如 Si中掺的P 和As(最外层有5个价电子) 受主:Acceptor,掺入半导体的杂质原子向半导体中

微电子工艺技术 复习要点4-6

第四章晶圆制造 1. CZ法提单晶的工艺流程。说明CZ法和FZ法。比较单晶硅锭CZ、MCZ和FZ三种生长方法的优缺点。 1、溶硅 2、引晶 3、收颈 4、放肩 5、等径生长 6、收晶。 CZ法:使用射频或电阻加热线圈,置于慢速转动的石英坩埚内的高纯度电子级硅在1415度融化。将一个慢速转动的夹具的单晶硅籽晶棒逐渐降低到熔融的硅中,籽晶表面得就浸在熔融的硅中并开始融化,籽晶的温度略低于硅的熔点。当系统稳定后,将籽晶缓慢拉出,同时熔融的硅也被拉出。使其沿着籽晶晶体的方向凝固。 FZ法:即悬浮区融法。将一条长度50-100cm 的多晶硅棒垂直放在高温炉反应室,加热将多晶硅棒的低端熔化,然后把籽晶溶入已经熔化的区域。熔体将通过熔融硅的表面张力悬浮在籽晶和多晶硅棒之间,然后加热线圈缓慢升高温度将熔融硅的上方部分多晶硅棒开始熔化。此时靠近籽晶晶体一端的熔融的硅开始凝固,形成与籽晶相同的晶体结构。当加热线圈扫描整个多晶硅棒后,便将整个多晶硅棒转变成单晶硅棒CZ法优点:单晶直径大,成本低,可以较好控制电阻率径向均匀性。缺点:石英坩埚内壁被熔融的硅侵蚀及石墨保温加热元件的影响,易引入氧、碳杂质,不易生长高电阻率单晶 FZ法优点:1、可重复生长,单晶纯度比CZ法高。2、无需坩埚石墨托,污染少。3、高纯度,高电阻率,低碳,低氧。缺点:直径不如CZ法,熔体与晶体界面复杂,很难得到无位错晶体,需要高纯度多晶硅棒作为原料,成本高。 MCZ:改进直拉法优点:较少温度波动,减轻溶硅与坩埚作用,降低了缺陷密度,氧含量,提高了电阻分布的均匀性 2.晶圆的制造步骤【填空】 1、整形处理:去掉两端,检查电阻确定单晶硅达到合适的掺杂均匀度。 2、切片 3、磨片和倒角 4、刻蚀 5、化学机械抛光 3. 列出单晶硅最常使用的两种晶向。【填空】 111.100. 4. 说明外延工艺的目的。说明外延硅淀积的工艺流程。 在单晶硅的衬底上生长一层薄的单晶层。 5. 氢离子注入键合SOI晶圆的方法 1、对晶圆A清洗并生成一定厚度的SO2层。 2、注入一定的H形成富含H的薄膜。 3、晶圆A翻转并和晶圆B键合,在热反应中晶圆A的H 脱离A和B键合 4、经过CMP和晶圆清洗就形成键合SOI晶圆 6. 列出三种外延硅的原材料,三种外延硅掺杂物【填空】 6名词解释:CZ法提拉工艺、FZ法工艺、SOI、HOT(混合晶向)、应变硅 CZ法:直拉单晶制造法。FZ法:悬浮区融法。SOI:在绝缘层衬底上异质外延硅获得的外延材料。HOT:使用选择性外延技术,可以在晶圆上实现110和100混合晶向材料。应变硅:通过向单晶硅施加应力,硅的晶格原子将会被拉长或者压缩不同与其通常原子的距离。 第五章热处理工艺

微电子工艺概论1-5

1.硅作为电子材料的优点 ·原料充分,占地壳25%,沙子是硅在自然界中存在的主要形式; ·硅晶体表面易于生长稳定的氧化层,这对于保护硅表面器件或电路的结构、性质很重要; ·密度只有2.33g/cm3,是锗/砷化镓的43.8%,用于航空、航天; ·热学特性好,线热膨胀系数小,2.5*10-6/℃ ,热导率高,1.50W/cm ·℃,芯片散热; ·单晶圆片的缺陷少,直径大,工艺性能好,目前16英寸; ·机械性能良好,MEMS 。 2.硅晶体缺陷——点缺陷 ·本征缺陷(晶体中原子由于热运动) 空位 A :晶格硅原子位置上出现空缺; 自填隙原子B :硅原子不在晶格位置上,而处在晶格位置之间。 ·杂质(非本征缺陷:硅以外的其它原子进入硅晶体) 替位杂质C 填隙杂质D 注: ·肖特基缺陷:空位缺陷; ·弗伦克尔(Frenkel )缺陷:原子热运动脱离晶格位置进入晶格之间,形成的空穴和自填隙 的组合; ·填隙杂质在微电子工艺中是应尽量避免的,这些杂质破坏了晶格的完整性,引起点阵的 变,但对半导体晶体的电学性质影响不大; ·替位杂质通常是在微电子工艺中有意掺入的杂质。例如,硅晶体中掺入Ⅲ、Ⅴ族替位杂质, 目的是调节硅晶体的电导率;掺入贵金属Au 等,目的是在硅晶体中添加载流子复合中心, 缩短载流子寿命。 3.硅晶体缺陷——线缺陷 ·线缺陷最常见的就是位错。位错附近,原子排列偏离了严格的周期性,相对位置发生了错 乱。位错可看成由滑移形成,滑移后两部分晶体重新吻合。在交界处形成位错。用滑移矢 量表征滑移量大小和方向。 ·位错主要有刃位错和螺位错 刃(形)位错:晶体中插入了一列原子或一个原子面,位错线AB 与滑移矢量垂直; 螺(旋)位错:一族平行晶面变成单个晶面所组成的螺旋阶梯,位错线AD 与滑移矢量平 kT E v v e N n /0-=νkT E i i i e N n /0-=1 2 3 B A 缺陷附近共价键被压缩1、拉长2、悬挂3,存在应力

微电子工艺2022试卷--张建国-答案

微电子工艺2022试卷--张建国-答案学院姓名学号任课老师考场教室__________选课号/座位号 ………密………封………线………以………内………答………题………无………效…… 电子科技大学2022-2022学年第二学期期末考试B卷 课程名称:微电子工艺考试形式:开卷考试日期:20年月日考试时长:120分钟课程成绩构成:平时10%,期中%,实验%,期末90%本试卷试题由三部分构成,共4页。 题号得分得分一、简答题(共72分,共12题,每题6分) 1、名词解释:集成电路、芯片的关键尺寸以及摩尔定律 集成电路:多个电子元件,如电阻、电容、二极管和三极管等集成在基片上形成的具有确定芯片功能的电路。 关键尺寸:硅片上的最小特征尺寸 摩尔定律:每隔12个月到18个月,芯片上集成的晶体管数目增加一倍,性能增加一倍 2、MOS器件中使用什么晶面方向的硅片,双极型器件呢?请分别给出原因。 MOS:<100>Si/SiO2界面态密度低;双极:<111>生长快,成本低 3、倒掺杂工艺中,为形成p阱和n阱一般分别注入什么离子?为什么一般形成P阱所需的离子注入能

量远小于形成n阱所需的离子注入能量?PMOS管一般做在p阱还是n 阱中? P阱:注B;N阱:注P。B离子远比P离子要轻,所以同样注入深度,注P所需能量低PMOS管做在n阱中 4、解释质量输运限制CVD工艺和反应速度限制CVD工艺的区别,哪 种工艺依赖于温度,为什么LPCVD 淀积的薄膜比APCVD淀积的薄膜更均匀? 质量输运限制CVD:反应速率不能超过传输到硅片表面的反应气体的 传输速率。反应速度限制CVD:淀积速度受到硅片表面反应速度的限制, 依赖于温度。 LPCVD工作于低压下,反应气体分子具有更大的平均自由程,反应器 内的气流条件不重要,只要控制好温度就可以大面积均匀成膜。 一二三四五六七八九十合计第1页共6页 学院姓名学号任课老师考场教室__________选课号/座位号 ………密………封………线………以………内………答………题………无………效…… 5、解释为什么目前CMOS工艺中常采用多晶硅栅工艺,而不采用铝栅 工艺? 多晶硅栅工艺优点: 1、通过掺杂得到特定电阻

微电子学概论复习题及答案(详细版)

第一章 绪论 1.画出集成电路设计与制造的主要流程框架。 2.集成电路分类情况如何? ?????????????????? ????????????????????????????????????????????????????????????????????????????????????按应用领域分类数字模拟混合电路非线性电路线性电路模拟电路时序逻辑电路组合逻辑电路数字电路按功能分类GSI ULSI VLSI LSI MSI SSI 按规模分类薄膜混合集成电路厚膜混合集成电路混合集成电路B iCMOS B iMOS 型B iMOS CMOS NMOS PMOS 型MOS 双极型单片集成电路按结构分类集成电路 3.微电子学的特点是什么? 微电子学:电子学的一门分支学科 微电子学以实现电路和系统的集成为目的,故实用性极强。 微电子学中的空间尺度通常是以微米(m, 1m =10-6m)和纳米(nm, 1nm = 10-9m)为单位的。 微电子学是信息领域的重要基础学科 微电子学是一门综合性很强的边缘学科 涉及了固体物理学、量子力学、热力学与统计物理学、材料科学、电子线路、信号处理、计算机辅助设计、测试与加工、图论、化学等多个学科 微电子学是一门发展极为迅速的学科,高集成度、低功耗、高性能、高可靠性是微

电子学发展的方向 微电子学的渗透性极强,它可以是与其他学科结合而诞生出一系列新的交叉学科,例如微机电系统(MEMS)、生物芯片等 4.列举出你见到的、想到的不同类型的集成电路及其主要作用。 集成电路按用途可分为电视机用集成电路、音响用集成电路、影碟机用集成电路、录像机用集成电路、电脑(微机)用集成电路、电子琴用集成电路、通信用集成电路、照相机用集成电路、遥控集成电路、语言集成电路、报警器用集成电路及各种专用集成电路。 5.用你自己的话解释微电子学、集成电路的概念。 集成电路(integrated circuit)是一种微型电子器件或部件。采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。 6.简单叙述微电子学对人类社会的作用。 可以毫不夸张地说,没有微电子技术的进步,就不可能有今天信息技术的蓬勃发展,微电子已经成为整个信息社会发展的基石。随着微电子的发展,器件的特征尺寸越来越小第二章半导体物理和器件物理基础 1.什么是半导体?特点、常用半导体材料 什么是半导体? 金属:电导率106~104(W?cm-1),不含禁带; 半导体:电导率104~10-10(W?cm-1),含禁带; 绝缘体:电导率<10-10(W?cm-1),禁带较宽; 半导体的特点: (1)电导率随温度上升而指数上升; (2)杂质的种类和数量决定其电导率; (3)可以实现非均匀掺杂; (4)光辐照、高能电子注入、电场和磁场等影响其电导率; 硅:地球上含量最丰富的元素之一,微电子产业用量最大、也是最重要的半导体材料。 硅(原子序数14)的物理化学性质主要由最外层四个电子(称为价电子)决定。每个硅原子近邻有四个硅原子,每两个相邻原子之间有一对电子,它们与两个原子核都有吸引作用,称为共价键。 化合物半导体:III族元素和V族构成的III-V族化合物,如,GaAs(砷化镓),InSb(锑化铟),GaP(磷化镓),InP(磷化铟)等,广泛用于光电器件、半导体激光器和微波器件。2.掺杂、施主/受主、P型/N型半导体(课件) 掺杂:电子摆脱共价键所需的能量,在一般情况下,是靠晶体内部原子本身的热运动提供的。常温下,硅里面由于热运动激发价健上电子而产生的电子和空穴很少,它们对硅的导电性的影响是十分微小的。室温下半导体的导电性主要由掺入半导体中的微量的杂质(简称掺杂)来决定,这是半导体能够制造各种器件的重要原因。 施主:Donor,掺入半导体的杂质原子向半导体中 提供导电的电子,并成为带正电的离子。如 Si中掺的P 和As(最外层有5个价电子) 受主:Acceptor,掺入半导体的杂质原子向半导体中 提供导电的空穴,并成为带负电的离子。如 Si中掺的B(硼)(最外层只有3个价电子)

2009级微电子工艺学试卷A卷参考答案

华中科技大学2011—2012学年第二学期 电子科学与技术专业《微电子工艺学》试卷A(开卷) 一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×” (本大题共10小题,每小题1分,共10分) 1、单晶生长实际上就是液固两相的转化,实现条件就是在两相界面附近存在浓度梯度。( × ) 2、如果光刻胶的CMTF 小于实际光刻图形的MTF,则光刻图形上的最小尺寸线条可能被分辨。反之,不能被分辨。(√ ) 3、热氧化过程中,硅内靠近Si-SiO 2 界面的杂质将在界面两边的硅与二氧化硅中形成再分布。对于k <1、二氧化硅中的慢扩散杂质,再分布之后靠近界面处二氧化硅中的杂质浓度比硅中高,硅表面附近浓度下降。( √ ) 4、研究表明,杂质在半导体晶体中的扩散虽然比较复杂,但可以归纳为几种典型的形式,如填隙式与替位式扩散,其中替位式扩散的速度较快。( × ) 5、离子注入掺杂时,降低离子能量就是形成浅结的重要方法。但在低能情况下,沟道效应很明显,可能使结深增加一倍,且离子束稳定性降低。( √ ) 6、氮化硅(Si 3N 4)薄膜介电常数约 6~9,不能作为层间绝缘层,否则将造成较大寄生电容,降低电路速度。但它对杂质扩散有极强掩蔽能力,可以作为器件最终钝化层与机械保护层以及硅选择性氧化的掩模。( √ ) 7、自掺杂效应就是气相外延过程中的无意识掺杂效应,采取适当措施可以完全避免,例如降低由衬 底蒸发的杂质量以及避免使蒸发出的杂质重新进入外延层。( × ) 8、溅射仅就是离子对物体表面轰击时可能发生的四种物理过程之一,其中每种物理过程发生的几率取决于入射离子的剂量。( × ) 9、等离子体刻蚀与溅射刻蚀并无明显界限,化学反应与物理作用都可能发生,具体刻蚀模式取决于系统压力、温度、气流、功率及相关可控参数。( √ ) 10、MOS 器件之间就是自隔离的(self-isolated),可大大提高集成度。但当绝缘层上的金属引线经过两个 MOSFET 之间的区域时,会形成寄生场效应晶体管。因此,MOS IC 中的隔离主要就是防止寄生的导电沟道,即防止场区寄生场效应晶体管开启。( √ ) 二、选择填空。 (本大题共10小题,每小题2分,共20分。在每小题给出的四个选项中, 有的只有一个选项正确,有的有多个选项正确,全部选对得2分,选对但不全的得1分,有选错的得0分) 1、硅中常见杂质大多数分凝系数小于1。采用直拉法与区熔法制备硅单晶时,可实现提纯,尤其就是多次循环区熔。单就一次提纯的去杂质效果而言,( D )。 A 、 两方法区别不大 B 、 两方法均不太好 C 、 区熔法较好 D 、 直拉法较好 2、分布重复曝光系统的优点之一就是其掩模图形尺寸与实际图形尺寸的比例可以大于 1:1(称为缩小图形曝光)。原则上该比例越大分辨率越高,但实际曝光系统通常采用4:1或5:1,这就是( C )折中的结果。 A 、 分辨率与聚焦深度 B 、 分辨率与对准精度 C 、 分辨率与曝光时间 D 、 分辨率与对比度 3、在给定氧化条件下,抛物线型速率常数与衬底晶向无关,而线性速率常数与晶体取向有关,这就是因为( A 、B )。 A 、 抛物线型速率常数度量的就是氧化剂穿过一层无序的非晶二氧化硅的扩散 第1页 共3页 一?密封线内不准答题? 二?姓名?学号不许涂改,否则试卷无效? 三?考生在答题前应先将姓名?学号?年级与班级填写在指定的方框内? 四?试卷印刷不清楚?可举手向监考教师询问? 注意

国微电子器件工程师岗位笔试题目含笔试技巧

国微电子 器件工程师岗位笔试题目(精选)国微电子公司器件工程师岗位笔试题目 一、选择题(每个问题有四个选项,请选择非常合适的答案) 1. 下列哪种器件常用于存储数据?() A. 运算器 B. 存储器 C. 中央处理器 D. 输入设备 参考答案:B. 存储器 2. 集成电路的英文缩写是?() A. ICS B. ICP C. IC D. ID 参考答案:C. IC

3. 下列哪个是数字信号处理器?() A. DSP B. CPU C. FPGA D. GBA 参考答案:A. DSP 4. 以下哪个不是半导体器件的三大应用领域?() A. 集成电路 B. 分立器件 C. 光电器件 D. 传感器 参考答案:B. 分立器件 5. 下列哪个是存储器件的分类?() A. 动态和静态 B. 线性和非线性 C. 模拟和数字 D. 长期和短期

参考答案:A. 动态和静态 6. 集成电路的制造过程不包括以下哪个步骤?() A. 氧化 B. 光刻 C. 外延生长 D. 后处理 参考答案:D. 后处理 7. 下列哪个是电子束光刻的优点?() A. 成像速度快,精度高 B. 制程简单,成本低 C. 对环境影响小,污染低 D. 可加工材料种类多,适用面广 参考答案:A. 成像速度快,精度高 8. 下列哪个是离子注入的主要优点?() A. 可精确控制掺杂剂的剂量和分布 B. 掺杂剂在固体中均匀分布

C. 掺杂剂在固体表面分布较浅 D. 对固体材料的损伤较小 参考答案:A. 可精确控制掺杂剂的剂量和分布 9. 下列哪个是制造半导体器件的常用材料?() A. 高纯度硅 B. 高纯度锗 C. 高纯度锌 D. 高纯度铟 参考答案:A. 高纯度硅 10. 多层陶瓷芯片的主要制造工艺是以下哪个?() A. 烧结工艺 B. 蒸发工艺 C. 溅射工艺 D. 电镀工艺参考答案:D 电镀工艺二、问答题(请详细描述题目的答案,字数不少于50字)11. 请简述集成电路的发展历程,并列出其发展的三个主要阶段。参考答案:集成电路的发展历程可大致分为三个阶段。唯二阶段是1958-1971年,这是集成电路的初创时期,主要由中小规模集成电路组成。第二阶段是1971-1980年,这是集成电路迅速发展的时期,开始出现大规模集成电路。第

微电子期末考试复习题(附答案)

1. 光敏半导体、掺杂半导体、热敏半导体是固体的三种基本类型。( × ) 2.用来做芯片的高纯硅被称为半导体级硅,有时也被称为分子级硅。(×)电子3. 硅和锗都是Ⅳ族元素,它们具有正方体结构。( × ) 金刚石结构 4.硅是地壳外层中含量仅次于氮的元素。( × ) 氧 5.镓是微电子工业中应用最广泛的半导体材料,占整个电子材料的95%左右。( × ) 硅 6.晶圆的英文是wafer,其常用的材料是硅和锡。( × ) 硅和锗 7.非晶、多晶、单晶是固体的三种基本类型。( √ ) 8.晶体性质的基本特征之一是具有方向性。( √ ) 9.热氧化生长的SiO2属于液态类。( × ) 非结晶态 10.在微电子学中的空间尺寸通常是以μm和mm为单位的。( × )um和nm 11.微电子学中实现的电路和系统又称为数字集成电路和集成系统,是微小化的。( × ) 集成电路 12.微电子学是以实现数字电路和系统的集成为目的的。( × ) 电路13.采用硅锭形成发射区接触可以大大改善晶体管的电流增益和缩小器件的纵向尺寸。( √ ) 14.集成电路封装的类型非常多样化。按管壳的材料可以分为金属封装、陶瓷封装和塑料封装。( √ ) 15.源极氧化层是MOS器件的核心。( × ) 栅极 16. 一般认为MOS集成电路功耗高、集成度高,不宜用作数字集成电路。 ( × ) 功耗低,宜做 17. 反映半导体中载流子导电能力的一个重要参数是迁移率。( √ ) 18. 双极型晶体管可以作为放大晶体管,也可以作为开关来使用。( √ ) 19. 在P型半导体中电子是多子,空穴是少子。( × ) 空穴是多子 20. 双极型晶体管其有两种基本结构:PNP型和NPN 型。( √ ) 21. 在数字电路中,双极型晶体管是当成开关来使用的。( √ ) 22. 双极型晶体管可以用来产生、放大和处理各种模拟电信号。( √ ) 23.双极型晶体管在满足一定条件时,它可以工作在放大、饱和、截止三个区域中。( √ ) 24. 在N型半导体中空穴是多子,电子是少子。( × ) 电子是多子 25. 本征半导体的导电能力很弱,热稳定性很差。( √) 26. 组合逻辑电路的基本单元是集成电路。( × ) 门电路 27. 时序逻辑电路的基本单元是集成电路。( × ) 触发器 28. CMOS集成电路已成为集成电路的主流。( √ ) 29. 迁移率反映的是载流子(电子和空穴)在单位电场作用下的平均漂移速度。( √ ) 30.半导体集成电路是采用半导体工艺技术,在硅基片上制作包括电阻、电容、二极管、晶体管等元器件并具有某种电路功能的集成电路。 ( √ )

专升本《CMOS模拟集成电路分析与设计》_试卷_答案

专升本《CMOS模拟集成电路分析与设计》 一、(共75题,共150分) 1. Gordon Moore在1965年预言:每个芯片上晶体管的数目将每()个月翻一番(2分) A.12 B.18 C.20 D.24 .标准答案:B 2. MOS 管的小信号输出电阻是由MOS管的()效应产生的。(2分) A.体 B.衬偏 C.沟长调制 D.亚阈值导通 .标准答案:C 3. 在CMOS模拟集成电路设计中,我们一般让MOS管工作在()区。(2分) A.亚阈值区 B.深三极管区 C.三极管区 D.饱和区 .标准答案:D 4. MOS管一旦出现()现象,此时的MOS管将进入饱和区。(2分) A.夹断 B.反型 C.导电 D.耗尽 .标准答案:A 5. ()表征了MOS器件的灵敏度。(2分) A. B. C. D. .标准答案:C 6. Cascode放大器中两个相同的NMOS管具有不相同的()。(2分) A. B. C. D. .标准答案:B 7. 基本差分对电路中对共模增益影响最显著的因素是()。(2分) A.尾电流源的小信号输出阻抗为有限值 B.负载不匹配 C.输入MOS不匹配 D.电路制造中的误差 .标准答案:C 8. 下列电路不能能使用半边电路法计算差模增益()。(2分) A.二极管负载差分放大器 B.电流源负载差分放大器 C.有源电流镜差分放大器 D.Cascode负载Casocde差分放大器 .标准答案:C 9. 镜像电流源一般要求相同的()。(2分) A.制造工艺 B.器件宽长比 C.器件宽度W D.器件长度L .标准答案:D 10. 某一恒流源电流镜如图所示。忽略M3的体效应。要使和严格相等, 应取为()。(2分) A. B. C. D. .标准答案:A 11. 选择题:下列结构中密勒效应最大的是()。(2分) A.共源级放大器 B.源级跟随器 C.共栅级放大器 D.共源共栅级放大器 .标准答案:A 12. 下图中,其中电压放大器的增益为-A,假定该放大器为理想放大器。请计算该 电路的等效输入电阻为()。(2分) A.

最新微电子工艺学试卷(A卷)及参考答案

华中科技大学2010—2011学年第二学期 电子科学与技术专业《微电子工艺学》试卷(A 卷) 一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×”(本大题共12小题,每小题2分,共24分) 1、用来制造MOS 器件最常用的是(100)面的硅片,这是因为(100)面的表面状态更有利于控制MOS 器件开态和关态所要求的阈值电压。(√) 2、在热氧化过程的初始阶段,二氧化硅的生长速率由氧化剂通过二氧化硅层的扩散速率决定,处于线性氧化阶段。( × ) 3、在一个化学气相淀积工艺中,如果淀积速率是反应速率控制的,则为了显著增大淀积速率,应该增大反应气体流量。( × ) 4、LPCVD 紧随PECVD 的发展而发展。由660℃降为450℃,采用增强的等离子体,增加淀积能量,即低压和低温。(×) 5、蒸发最大的缺点是不能产生均匀的台阶覆盖,但是可以比较容易的调整淀积合金的组分。(×) 6、化学机械抛光(CMP)带来的一个显著的质量问题是表面微擦痕。小而难以发现的微擦痕导致淀积的金属中存在隐藏区,可能引起同一层金属之间的断路。(√) 7、曝光波长的缩短可以使光刻分辨率线性提高,但同时会使焦深线性减小。如果增大投影物镜的数值孔径,那么在提高光刻分辨率的同时,投影物镜的焦深也会急剧减小,因此在分辨率和焦深之间必须折衷。( √ ) 8、外延生长过程中杂质的对流扩散效应,特别是高浓度一侧向异侧端的扩散,不仅使界面附近浓度分布偏离了理想情况下的突变分布而形成缓变,且只有在离界面稍远处才保持理想状态下的均匀分布,使外延层有效厚度变窄。( × ) 9、在各向同性刻蚀时,薄膜的厚度应该大致大于或等于所要求分辨率的三分之一。如果图形所要 求的分辨率远小于薄膜厚度,则必须采用各向异性刻蚀。( × ) 10、热扩散中的横向扩散通常是纵向结深的75%~85%。先进的MOS 电路不希望发生横向扩散,因为它会导致沟道长度的减小,影响器件的集成度和性能。(√) 11、离子注入能够重复控制杂质的浓度和深度,因而在几乎所有应用中都优于扩散。( ×) 12、侧墙用来环绕多晶硅栅,防止更大剂量的源漏注入过于接近沟道以致可能发生源漏穿通。(√) 二、选择填空。 (本大题共8小题,每小题2分,共16分。在每小题给出的四个选项 中,有的只有一个选项正确,有的有多个选项正确,全部选对得2分,选对但不全的得1分,有选错的得0分) 1、微电子器件对加工环境的空气洁净度有着严格的要求。我国洁净室及洁净区空气中悬浮粒子洁净度标准GB50073-2001中,100级的含义是:每立方米空气中大于等于0.1 m 的悬浮粒子的最大允许个数为( B ) A 、35; B 、100; C 、102; D 、237。 2、采用二氧化硅薄膜作为栅极氧化层,是利用其具有的( A 、D ) A 、高电阻率; B 、高化学稳定性; C 、低介电常数; D 、高介电强度。 3、如果淀积的膜在台阶上过度地变薄,就容易导致高的膜应力、电短路或者在器件中产生不希望的(A )。 一、密封线内不准答题。 二、姓名、学号不许涂改,否则试卷无效。 三、考生在答题前应先将姓名、学号、年级和班级填写在指定的方框内。 四、试卷印刷不清楚。可举手向监考教师询问。 注意

微电子工艺学试卷(A卷)及参考答案

文档来源为:从网络收集整理.word 版本可编辑.欢迎下载支持. 华中科技大学2010—2011学年第二学期 电子科学与技术专业《微电子工艺学》试卷(A 卷) 一、判断下列说法的正误,正确的在后面括号中划“√”,错误的在后面括号中划“×”(本大题共12小题,每小题2分,共24分) 1、用来制造MOS 器件最常用的是(100)面的硅片,这是因为(100)面的表面状态更有利于控制MOS 器件开态和关态所要求的阈值电压。(√) 2、在热氧化过程的初始阶段,二氧化硅的生长速率由氧化剂通过二氧化硅层的扩散速率决定,处于线性氧化阶段。(× ) 3、在一个化学气相淀积工艺中,如果淀积速率是反应速率控制的,则为了显著增大淀积速率,应该增大反应气体流量。( × ) 4、LPCVD 紧随PECVD 的发展而发展。由660℃降为450℃,采用增强的等离子体,增加淀积能量,即低压和低温。(×) 5、蒸发最大的缺点是不能产生均匀的台阶覆盖,但是可以比较容易的调整淀积合金的组分。(×) 6、化学机械抛光(CMP)带来的一个显著的质量问题是表面微擦痕。小而难以发现的微擦痕导致淀积的金属中存在隐藏区,可能引起同一层金属之间的断路。(√) 7、曝光波长的缩短可以使光刻分辨率线性提高,但同时会使焦深线性减小。如果增大投影物镜的数值孔径,那么在提高光刻分辨率的同时,投影物镜的焦深也会急剧减小,因此在分辨率和焦深之间必须折衷。( √ ) 8、外延生长过程中杂质的对流扩散效应,特别是高浓度一侧向异侧端的扩散,不仅使界面附近浓度分布偏离了理想情况下的突变分布而形成缓变,且只有在离界面稍远处才保持理想状态下的均匀分布,使外延层有效厚度变窄。( × ) 9、在各向同性刻蚀时,薄膜的厚度应该大致大于或等于所要求分辨率的三分之一。如果图形所要求的分辨率远小于薄膜厚度,则必须采用各向异性刻蚀。( × ) 10、热扩散中的横向扩散通常是纵向结深的75%~85%。先进的MOS 电路不希望发生横向扩散,因为它会导致沟道长度的减小,影响器件的集成度和性能。(√) 11、离子注入能够重复控制杂质的浓度和深度,因而在几乎所有应用中都优于扩散。(×) 12、侧墙用来环绕多晶硅栅,防止更大剂量的源漏注入过于接近沟道以致可能发生源漏穿通。(√) 二、选择填空。 (本大题共8小题,每小题2分,共16分。在每小题给出的四个选项 中,有的只有一个选项正确,有的有多个选项正确,全部选对得2分,选对但不全的得1分,有选错的得0分) 1、微电子器件对加工环境的空气洁净度有着严格的要求。我国洁净室及洁净区空气中悬浮粒子洁净度标准GB50073-2001中,100级的含义是:每立方米空气中大于等于0.1 m 的悬浮粒子的最大允许个数为( B ) A 、35; B 、100; C 、102; D 、237。 2、采用二氧化硅薄膜作为栅极氧化层,是利用其具有的( A 、D ) A 、高电阻率; B 、高化学稳定性; C 、低介电常数; D 、高介电强度。 3、如果淀积的膜在台阶上过度地变薄,就容易导致高的膜应力、电短路或者在器件中产生不希望的(A )。 一︑密封线内不准答题︒ 二︑姓名︑学号不许涂改︐否则试卷无效︒ 三︑考生在答题前应先将姓名︑学号︑年级和班级填写在指定的方框内︒ 四︑试卷印刷不清楚︒可举手向监考教师询问︒ 注意

2010级微电子工艺学试卷(A卷)参考答案

作条件以获得最佳刻蚀效果。(×) 9、微电子工艺中用于互连、欧姆接触、金属—半导体整流接触的金属膜的形成过程称为金属化。 要获得低接触电阻的金—半接触,必须增加金属—半导体接触的势垒高度并降低半导体的掺杂浓 度。(×) 10、所有CMOS电路都有寄生双极型晶体管所引起的闩锁问题。消除闩锁效应的方法:在轻掺杂 衬底上生长的重掺杂外延层中制造器件。因为高电阻衬底可以旁路外延层,降低基区电阻;同时 轻掺杂衬底还可以促进外延层基区少数载流子的复合,从而使寄生晶体管失效;另一个可有效避 免闩锁问题的工艺技术是浅沟槽隔离。(×) 二、在给出的选项中选择一个正确的序号填在题后括号中。(每小题2分,共20分) 1、在以硅器件为主的无线电射频(rf)和高频应用上,集成电路电感已经越来越受到注意。利用IC 工艺可以制作出各式各样的电感,其中最普遍的为。为了评价这个电感,品质因子(quality factor)Q是一个重点考虑的因素。Q值越高,来自电阻的损失就越小,因此,电路的特性越佳。( B) A. 薄膜条形电感 B. 薄膜螺旋形电感 C. 厚膜螺旋形电感 D. 厚膜条形电感 2、大部分用于IC的双极型晶体管为型,因为在基区部分的少数载流子(电子)有较高的迁 移率,使它比型具有较快的速度表现。( D) A. p-n-p;n-p-n B. p-n-n;n-p-p C.p-p-n;n-n-p D. n-p-n;p-n-p 3、在n-p-n双极型晶体管的基本制作程序的隔离方法中,器件之间用其周围的来隔离, 而器件与衬底之间用一个来隔离。( A) A.氧化层,n+-p结(埋层) B. 氧化层,p+-n结(埋层) C. n+-p结(埋层),氧化层 D. p+-n结(埋层),氧化层 4、在n-p-n双极型晶体管的基本制作程序中,需要一道光刻工艺规定用于分离基区与发射区接触区 域的氧化层区域。这会造成在隔离区域内有一大块不起作用的器件面积,不但会增加寄生电容,也 会增加导致晶体管特性衰退的电阻。降低这些不利效应的最佳方法是使用自对准(self-aligned)结构。 最常用的自对准结构具有结构,并采用的先进隔离技术。( A) A. 双多晶硅层,多晶硅填满沟槽 B. 双非晶硅层,非晶硅填满沟槽 C. 双多晶硅层,非晶硅填满沟槽 D. 双非晶硅层,多晶硅填满沟槽 5、在MOSFET的基本制作程序中,通过控制氧化层厚度、沟道中掺杂浓度、金属半导体功函数以 及氧化层电荷等,可得到良好受控的。( A) A. 阈值电压 B. 沟道电流 C. 沟道长度 D. 栅极—源极耦合电容 6、制作一个n沟道MOSFET,其起始材料为p型、轻掺杂(约1015cm-3)、<100>晶向、抛光的硅晶 片。<100>晶向的晶片比<111>晶向好,因为其大约是<111>晶向上的十分之一。( A) 第1页共4页 一 、 密 封 线 内 不 准 答 题 。 二 、 姓 名 、 学 号 不 许 涂 改 , 否 则 试 卷 无 效 。 三 、 考 生 在 答 题 前 应 先 将 姓 名 、 学 号 、 年 级 和 班 级 填 写 在 指 定 的 方 框 内 。 四 、 试 卷 印 刷 不 清 楚 。 可 举 手 向 监 考 教 师 询 问 。 所在年级、班级 注意

半导体工艺半导体制造工艺试题库2 答案

一、填空题(每空1分,计20分) 1、微电子器件制造用单晶材料的直径越来越大,大直径单晶的制备方法主要有 直拉法 和 区熔法 。 2、常用的测量SiO 2薄膜厚度的方式有 比色法 和 双光干涉法 。 3、在工艺中,可用热分解 硅烷(SiH 4) 进行多晶硅薄膜的淀积。 4、在集成电路制造工艺中,通常采用 蒸发 和 溅射 进行铝膜的制备。 5、曝光前,光刻胶对于特定显影液来说是可溶的,曝光后,不能溶解于此显影液中,此光刻胶为 负胶 (正胶或负胶)。 6、工艺中主要采用含 氟(F ) 的气体来进行SiO 2的干法刻蚀;同时,在刻蚀气体中,添加一定量的氧元素,可以 提高 (提高或降低)刻蚀速率。 7、在离子注入后,通常采用退火措施,可以消除由注入所产生的晶格损伤,常用的退火方式有 普通热退火 、 电子束退火 、 离子束退火 等。 8、常用的去胶方式有 溶剂去胶 、氧化去胶和 等离子体去胶 。 9、工艺中常用 磨角染色法 来测量扩散后的结深。 10、二氧化硅的湿法刻蚀中,采用的腐蚀液是 氢氟酸(HF ) ,而用于刻蚀氮化硅的腐蚀液通常是 热磷酸(H 3PO 4) 。 11、蒸发工艺中,常采用 钨丝(W ) 作为加热器。 12、光刻胶的核心成分是 感光树脂 。 二、选择题(每题2分,多项单项均有,计22分) 1、加工净化车间的沾污类型主要有( A 、B 、C 、D ) (A )颗粒 (B )金属杂质 (C )有机物沾污 (D )静电释放 2、在IC 工艺中,制备高质量的SiO 2薄膜采用氧化方式,常用的氧化方式有(A 、B 、D ) (A )水汽氧化 (B )湿氧氧化 (C )热分解正硅酸乙酯 (D )干氧氧化 3、SiO 2薄膜在微电子工艺中的主要用途有(A 、B 、C 、D ) (A )掺杂用的掩蔽膜 (B )对器件的保护和钝化作用 (C )器件间的隔离 (D )MOS 管的栅电极材料 4、集成电路制造工艺中,对薄膜的质量有如下哪些要求(A 、B 、C 、D ) (A )好的厚度均匀性 (B )高纯度和高密度 (C )良好的台阶覆盖 (D )良好的填充高的深宽比比间隙的能力 5、薄膜淀积结束后,需进行的质量检测项目有哪些(A 、B 、C 、D ) (A )膜厚 (B )折射率 (C )台阶覆盖率 (D )均匀性 6、根据掩膜版与晶片表面的接触,光学曝光有如下哪几种曝光方式(A 、B 、C ) (A )投影式 (B )接触式 (C )接近式 (D )步进式 7、下述哪些离子是等离子体(A 、B 、C 、D ) (A )电子 (B )带电离子 (C )带电原子 (D )带电分子 8、在热扩散工艺中,需要控制的工艺参数主要有(A 、B 、C ) (A )扩散时间 (B )扩散温度 (C )杂质源流量 (D )离子能量 9、下列哪种物质是磷扩散时的固态杂质源( D ) (A )BBr 3 (B )POCl 3 (C )PH 3 (D )偏磷酸铝 10、离子注入机中,偏束器的作用是( C ) (A )加速 (B )产生离子 (C )消除中性离子 (D )注入扫描 11、下述哪些措施可以有效消除沟道效应(A 、C 、D ) (A )大剂量注入 (B )降低靶温 (C )增大注入的倾斜角度 (D )增加靶温 三、判断题(每题1分,计14分) 1、在氢氧合成氧化工艺中,要定期检查氢气、氧气、氮气气体管道是否存在漏气。 ( R ) 2、进行金属布线时,要求金属材料与半导体材料之间的接触电阻要高。 ( F ) 3、解决金属层中电迁移的有效措施是使用铝-铜合金材料。 ( R ) 4、金属钨(W )在IC 工艺中主要用于填充金属层之间的通孔。 ( R ) 5、蒸发相对于溅射来说,更适合于合金材料的淀积。 ( F ) 6、在金属掩膜版中,主要采用金属铬作为掩膜材料。 ( R ) 7、涂胶前在晶圆表面形成一层底膜,其目的是为了增加晶圆与胶之间的粘附性。 ( R ) 8、干法刻蚀具有相对较高的选择比。 ( F ) 9、工艺中常用Cl 2来对多晶硅进行干法刻蚀,以实现各项异性的的刻蚀。 ( R ) 10、离子注入工艺中,可用光刻胶来作为注入时的掩蔽膜。 ( R ) 11、普通的退火工艺,一方面消除了离子注入所带来的损伤,另一方面还不会引起杂质的再扩散,是一种理想的退火方式。 ( F ) 12、传接晶片时,必须佩戴一次性手套,并且要求一副手套一次最多不能使用超过45分钟。 ( R ) 13、CMP 是唯一能够提供晶片全局性平坦化的一种平坦技术。 ( R ) 14、交流溅射不能进行绝缘膜的制备。 ( F ) 四、名词解释(每题5分,计20分) 1、离子注入技术 答:离子注入现已成为VLSI 优选的掺杂技术,它是将掺杂剂通过离子注入机的离化、加速和 质量分析,成为一束由所需杂质离子组成的高能离子流而投射到半导体晶片的内部,并通过逐点扫描完成对整个晶片的注入。 2、反应离子刻蚀 答:反应离子刻蚀,又叫等离子体刻蚀,它与物理刻蚀不同,它是利用等离子体,将反应气体解离,然后借助离子与薄膜之间的化学反应,把裸露在等离子体中的薄膜,反应生成挥发性的 装 订 班级 姓名 学号 成绩 - 学年第 学期 半 第 学期 半导体制造工 半导体制造工艺

相关文档
相关文档 最新文档