文档库 最新最全的文档下载
当前位置:文档库 › 电子频率计报告

电子频率计报告

电子频率计报告
电子频率计报告

数字频率计

一丶设计基本要求:

(1)能够测试外部输入频率10~2000HZ范围的方波信号

(2)将测试的数据在显示终端上进行显示

(3)可以设定检测频率值,当输入的频率符合设定值可以出现声光提示

(4)要求精度在0.2HZ

二、方案设计与论证

方案一:本方案主要以单片机为核心,利用单片机的计数定时功能来实现频率的技术并且利用单片机的动态扫描法把测出的数据送到数字显示电路显示。其原理框图如图1.1所示:

图1.1 原理框图

根据所要实现的功能,先在Proteus软件上仿真。根据所选用的硬件可以将整个软件设计分为若干子程序,有初始化、查询时间、发送指令、读取数据、显示温度等构成,可将以上子程序分别设计,实现各自的功能,再在子程序中调用,就可以实现预期的目标。

在Proteus软件里画出相应的电路图,将编写好的程序的编译后的文件下载到Proteus电路图的单片机里,进行仿真,对频率计设置不同的参数,看是否达到了我们设计所要求的目标,如果不符合要求,需要检查程序算法和硬件连接是否有误。若仿真成功,就按照电路图焊接硬件。

方案二:本方案主要以数字器件为核心,主要分为时基电路,逻辑控制电路,

放大整形电路,闸门电路,计数电路,锁存电路,译码显示电路七大部分。其原理框图如图2.2所示:

图2.2 方案二原理框图

方案的论证:

方案一:本方案主要以单片机为核心,利用单片机的计数器和定时器的功能对被测信号进行计数。编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示。

方案二:本方案使用大量的数字器件,被测信号经放大整形电路编程计数器所要求的脉冲信号,其高电平持续时间1s,当1s信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到1s信号结束闸门关闭,停止计数。若在闸门时间1s内计数器件的脉冲个数为N。则被测信号频率Fx=NHz。逻辑控制电路的作用有两个:一是产生脉冲,是显示器上的数字稳定:二十产生轻灵脉冲,是计数器每次测量从零开始技术。

方案的选择:比较以上两种方案可以知道,方案一的核心是单片机,使用的元器件少,原理电路简单,调试简单只要改变程序的设定值则可以实现不同频率范

围的测试能自动选择测试的量程。与方案一相比较方案二则使用了大量的数字元器件,原理电路复杂,硬件调试麻烦。如要测量高频的信号还需要加上分频电路,价格相对高了点。基于上述比较,所以选择了方案一。

三、硬件电路设计

图3.1频率计原理图

1丶具体连接方法如下:

XTAL1与XTAL2管脚接两个33UF电容和12M的晶振构成时钟电路。RET管脚10UF 的电解电容和复位开关丶1K欧的电阻构成人工复位。INTO用来接输入频率。P1.4丶P1.5丶P1.6用来接三个开关分别用来选模式和加减频率。WR和RD用来接喇叭和电灯当输入频率符合设定值时可以出现声光提示。P0端口用于连接1602显示屏。

2丶元件参数如下:

C1=C2=30UF,R4=1K欧,一个8路排阻,阻值为1K欧。一个10K的滑动电阻,晶振为12M的晶振。

3丶各模块电路的实现及其功能:

(1)单片机系统模块

单片机,又称微控制器,是在一块硅片上集成了各种部件的微型计算机。这些部件包括中央处理器CPU,数据存储器RAM,程序存储器ROM,定时器/计数器和多种I/O接口电路。其结构特点:

A丶8位CPU;

B丶片内振荡器及时钟电路;

C丶32根I\O线;

D丶外部存储器ROM和RAM寻址范围各64KB;

E丶2个16位的定时器/计数器

F丶5个中断源,2个中断优先级;

G丶全双工串行口;

H丶布尔处理器;

STC89C52RC单片机的工作模式:

①掉电模式:典型功耗<0.1uA,可由外部中断唤醒,中断返回后,继续执行原程序。

②空闲模式:典型功耗2MA。

③正常工作模式:典型功耗4mA~7mA。

④掉电模式可由外部中断唤醒,适用于水表丶气表等电池供电系统及便携系统主电源引脚Vcc和Vss Vcc(40脚):接+5V电压; Vss(20脚):接地。RST:复位输入。当振荡器工作时,RST引脚出现两个机器周期以上高电平将使单片机复位。WDT 溢出将使该引脚输出高电平,设置SFR AUXR的DISRT0 位(地址8EH)可打开或关闭该功能。DISRT0位缺省为RESET输出高电平打开状态。ALE /PROG:当访问外部程序存储器或数据存储器时,ALE(地址锁存允许)输出脉冲用于锁存地址的低8位字节。即使不访问外部存储器,ALE 仍以时钟振荡频率的1/6 输出固定的正脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳过一个ALE脉冲。对F1ash存储器程期间,该引脚还用于输入编程脉冲(PROG)。如有必要,可通过对特殊功能寄存器(SFR)区中的8EH 单元的D0 位置位,可禁止ALE 操作。该位置位后,只有一条M0VX和M0VC指令ALE才会被激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ALE无效。 PSEN:程序储存允许(PSEN)输出是外部程序存储器的读选通信号,当AT89S51 由外部程序存储器取指令(或数据)时,每个机器周期两次PSEN有效,即输出两个脉冲。

当访问外部数据存储器,没有两次有效的PSEN信号。 EA/VPP:外部访问允许。欲使CPU仅访问外部程序存储器(地址为0000H-FFFFH),EA端必须保持低电平(接地)。

需注意的是:如果加密位LB1被编程,复位时内部会锁存EA端状态。如EA端为高电平(接VCC端),CPU则执行内部程序存储器中的指令。

F1ash存储器编程时,该引脚加上+12V的编程电压vpp。

P0口:P0口是一组8位漏极开路型双向I/0口,也即地址/数据总线复用口。作为输出口用时,每位能驱动8个TTL逻辑门电路,对端口写“l”可作为高阻抗输入端用。在访问外部数据存储器或程序存储器时,这组口线分时转换地址(低8位)和数据总线复用,在访问期间激活内部上拉电阻。在F1ash编程时,P0口接收指令字节,而在程序校验时,输出指令字节,校验时,要求外接上拉电阻。

P1口:是一个带内部上拉电阻的8位双向I/O口,P1的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对端口写“l”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。Flash编程和程序校验期间,

驱动(吸收或输出电流)4 个TTL逻辑门电路。

对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口,作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。

P3 口:P3 口是一组带有内部上拉电阻的8 位双向I/0 口。P3 口输出缓冲级可驱动(吸收或输出电流)4 个TTL逻辑门电路。对P3口写入“l”时,它们被内部上拉电阻拉高并可作为输入端口。作输入端时,被外部拉低的P3口将用上拉电阻输出电流(IIL)。P3口除了作为一般的I/0口线外,更重要的用途是它的第二功能,如下表所示:P3口还接收一些用于Flash闪速存储器编程和程序校验的控制信号。

单片机的最小系统有:处理器丶复位电路丶时钟电路丶调试接口丶存储器

1602字符显示频:

1602字符型LCD通常有14条引脚或16条引脚的LCD,多出来的2条线是背光电源线VCC(15脚)和地线GND(16脚),其控制原理与14脚的LCD完全一样,1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,这些字符有:阿拉伯数字丶英文字母的大小写丶常用的符号丶日文等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A”。

图3.31602显示屏

四、软件设计

本次程序设计采用的是C语言程序设计。系统软件设计采用模块化设计方法。整个系统由初始化模块,信号频率测量,自动量程转换丶按键模块和显示等模块组成。

4.1.1主程序流程图设计

根据本次设计要求,主程序主要包括单片机和LCD1602初始化,频率计测量,量程自动转换以及显示几个方面,用单片机驱动显示器LCD1602,使其显示两行文字第一行显示Current Squ,第二行显示输入频率。要让液晶显示字符,首先要对其控制器进行初始化设置,还必须对有丶无光标丶光标的移动方向丶光标是否闪烁及字符移动的方向等进行设置,才能获得所需要的显示效果。对LCD1602的初始化丶读丶写丶光标设置丶显示数据的指针设置等。

主程序流程图如下:

4.1.2子程序流程图设计

(1)显示程序

LCD显示程序设计流程图如下图所示:

(2)中断服务流程图:频率测量程序框图

(3)中断服务流程图

这是按键部分的流程图,按键有三个,第一个按键可以通过设置键模式选择可以选择Current Frequ和Set Frequ显示方式。第二个按键加Set Frequ的值最多按2000次。第三个按键减Set Frequ的值最多按2000次。当显示的值超过2000HZ时只显示2000HZ或低于10HZ时只显示10HZ,显示部分精确到小数点一位。当Set Frequ的值与输入的值一致时喇叭和提示灯将显示和叫。

五、仿真过程与仿真结果

本设计是在Proteus环境下进行仿真的,仿真所用到的器件有:单片机AT89C52,蜂鸣器,液晶显示器,一些电阻,电容等。

本设计在仿真的条件下可以正确的显示频率,并在输入频率和显示频率一致时,蜂鸣器将发出滴滴的警告声,显示灯亮。且本设计频率计显示可以精确到0.1满足设计要求。仿真时频率计显示如下图所示。

六、安装与调试

频率计的系统调试包括硬件调试丶软件调试以及系统软硬件联合调试。硬件调试包括显示模块丶按键丶显示灯等模块,软件调试就是通过修改程序,使频率计功能完善,提高频率计的测量精度。使用软件仿真,调试仿真结果,同时使用数字万用表和示波器测试输出电压值和输出波形,调试出正确的软硬件电路。

Proteus仿真成功后,接着就是制作硬件板,在试验电路安装完毕后,不要急于通电测试,而首先必须做好以下调试前的检查工作。检查连线情况,经常遇到有接错丶少接或是多接等连线错误。检查连线可以直接对照电路原理进行,但是如果电路中布线较多,则可以以元件为中心,依次检查其引脚的有关连线,这样不仅可以检查出错接或是少接,而且也较容易发现多余的线。

为了确保连线的可靠,在查线的同时,还可以用万用表电阻档对接线作连通检查,而且最好在器件外引线出测量,这样有可能查出某些“虚焊”的隐患。

在通电前,还需要用万用表检查电源输入端与地之间是否存在短路,若有则需要进一步检查其原理。在完成了以上各项检查并确认无误后,才可以通电测试,但此时应注意电源的正丶负极不能接反。

(1)LCD显示调试

做好板子后,检查电路,没有短路或者短路,接着对显示部分进行测试。给板子输入电源,调节电阻器改变LCD背光亮度,直到亮度适合而且显示正常。

把程序下载到单片机中,送数据到LCD显示,能正常显示程序中设定显示的数据,说明显示电路部分正常工作。

(2)键盘调试

在显示正常的情况下调试键盘,按下设置键,看是否正常进入中断,若能,进入中断后,按下频率/周期丶闸门时间设置键,看是否正常设置。在调试过程中,按上述进行调试,没什么问题,键盘正常工作。

制版不太成功,没有显示。

在开发板上可有效实现

七丶结论与心得

为期一周的单片机课程设计已经结束了,从成果上看似乎是做出来了,其实不然:刚开始的时候一脸茫然,找不到的方向,在老师的提醒与同学的帮助下才能入手。体现出基础知识差劲的一方面;再后来画原理图,制作PCB的时候又出现了一些问题,元器件的不齐,线路的断路导致制出的板不成功的,体现出动手能力不强的方面。回顾自己的学习经历,感觉今天的生活与状态是由一系列的偶然与必然串联成结果。这个过程中自己承受了比别人更多的挫折,但也学到了更多的感悟,获得了比别人更多的成就。虽然很艰辛,但最后在同学的帮助下还是可以成功的在开发板上实现。经过这次的实训令我提高了对单片机相关软件的应用,以及对PCB板的制作水平。

八丶参考文献

[1]、张毅刚.《单片机原理及应用》.北京:高等教育出版社

[2]、阎石.《数字电子技术基础》.北京:高等教育出版社

[3]、童诗白,华成英.《模拟电子技术基础》.北京:高等教育出版社#include

#include

/* 液晶引脚定义*/

#define LCD_DB P0

sbit LCD_RS=P2^0;

sbit LCD_RW=P2^1;

sbit LCD_E=P2^2;

/* 按键引脚定义*/

sbit Key_Mode = P1^5;

sbit Key_Up = P1^6;

sbit Key_Down = P1^7;

/* 报警引脚定义*/

sbit Beep = P3^7;

sbit LedS = P3^6;

/* 变量的定义*/

unsigned char SelecMode=0;

unsigned int Flag_1S=0,CounterFlag=0,CounterShow=0,

SetCounterparame=300;

/* 系统定时器定义*/

void SystemInit(void)

{

TMOD = 0x16; //设置定时器1 计时模式

TH1=(65536-20000)/256; //加载计数器高位数据

TL1=(65536-20000)%256; //加载计数器低位数据

ET1=1; //允许定时器1 中断触发

TR1=1; //启动定时器1

IT0=1; //下降沿触发

EX0=1; //开启外部中断0 (INT0)

EA =1; //开总中断

}

/* 500毫秒延时定义*/

void delay500ms(void)

{

unsigned char a=0,b=0,c=0;

for(c=205;c>0;c--)

for(b=116;b>0;b--)

for(a=9;a>0;a--);

}

/* n*10微妙延时*/

void delay_n10us(unsigned int n)

{

unsigned int i=0;

for(i=n;i>0;i--)

{

_nop_();

_nop_();

_nop_();

_nop_();

_nop_();

_nop_();

}

}

/* 写命令函数*/

void LCD_write_command(unsigned char dat)

{

delay_n10us(10);

LCD_RS=0;

LCD_RW=0;

LCD_E=1;

LCD_DB=dat;

delay_n10us(10);

LCD_E=0;

delay_n10us(10);

}

/* 写数据函数*/

void LCD_write_data(unsigned char dat)

{

delay_n10us(10);

LCD_RS=1;

LCD_RW=0;

LCD_E=1;

LCD_DB=dat;

delay_n10us(10);

LCD_E=0;

delay_n10us(10);

}

/* 写字符函数X:0~15 Y:1,2 */

void LCD_disp_char(unsigned char x,unsigned char y,unsigned char dat) {

unsigned char address=0;

if(y==1)

address=0x80+x;

else

address=0xc0+x;

LCD_write_command(address);

LCD_write_data(dat);

}

/* 写一串字符X:0~15 Y:1,2 */

void LCD_disp_str(unsigned char x,unsigned char y,unsigned char *str) {

unsigned char address=0;

if(y==1)

address=0x80+x;

else

address=0xc0+x;

LCD_write_command(address);

while(*str!='\0')

{

LCD_write_data(*str);

str++;

}

}

/* 液晶初始化*/

void LCD_init(void)

{

delay_n10us(10);

LCD_write_command(0x38);

delay_n10us(10);

LCD_write_command(0x0c);

delay_n10us(10);

LCD_write_command(0x06);

delay_n10us(10);

LCD_write_command(0x01);

delay_n10us(100);

}

/* 硬件初始化*/

void HandInit(void)

{

SystemInit();

LCD_init();

}

/* 按键检测*/

void KeyProcess(void)

{

if(!Key_Mode)

{

Beep=0;

delay500ms();

Beep=1;

while(!Key_Mode);

SelecMode++;

if(SelecMode>1)

SelecMode=0;

}

if(!Key_Up)

{

Beep=0;

delay500ms();

Beep=1;

while(!Key_Up);

if(SelecMode)

{

if(SetCounterparame<20000)

{

SetCounterparame++;

}

}

}

if(!Key_Down)

{

Beep=0;

delay500ms();

Beep=1;

while(!Key_Down);

if(SelecMode)

{

if(SetCounterparame>200)

{

SetCounterparame--;

}

}

}

}

/* 逻辑处理*/

void LogicProcess(void)

{

if(CounterShow==SetCounterparame)

{

Beep = 0;

LedS = 0;

else

{

Beep = 1;

LedS = 1;

}

}

/* 数据显示*/

void ShowProcess(void)

{

unsigned char buf[9]={0};

if(SelecMode)

{

LCD_disp_str(0,1," Set Frequ: ");

buf[0]=SetCounterparame/10000+0x30;

buf[1]=SetCounterparame%10000/1000+0x30;

buf[2]=SetCounterparame%1000/100+0x30;

buf[3]=SetCounterparame%100/10+0x30;

buf[4]='.';

buf[5]=SetCounterparame%10+0x30;

buf[6]=' ';

buf[7]='\0';

buf[8]='\0';

}

else

{

LCD_disp_str(0,1," Current Frequ: ");

buf[0]=CounterShow/10000+0x30;

buf[1]=CounterShow%10000/1000+0x30;

buf[2]=CounterShow%1000/100+0x30;

buf[3]=CounterShow%100/10+0x30;

buf[4]='.';

buf[5]=CounterShow%10+0x30;

buf[6]=' ';

buf[7]='\0';

buf[8]='\0';

}

LCD_disp_str(6,2,buf);

}

/* 主函数*/

void main(void)

{

HandInit();

while(1)

KeyProcess();

ShowProcess();

LogicProcess();

}

}

/* 外部中断检测*/

void Trig_INT0(void) interrupt 0 {

EX0=0;

CounterFlag++;

EX0=1;

}

/* 定时器中断检测*/

void Time_T1(void) interrupt 3//20ms {

TH1=(65536-20000)/256;

TL1=(65536-20000)%256;

Flag_1S++;

if(Flag_1S>=500) //10s

{

Flag_1S=0;

CounterShow=CounterFlag;

CounterFlag=0;

}

}

单片机课程设计报告——智能数字频率计汇总

单片机原理课程设计报告题目:智能数字频率计设计 专业:信息工程 班级:信息111 学号:*** 姓名:*** 指导教师:*** 北京工商大学计算机与信息工程学院

1、设计目的 (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用: 包括放大器、滤波器、比较器、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 2、设计要求 (1)基本要求 设计指标: 1.频率测量:0~250KHz; 2.周期测量:4mS~10S; 3.闸门时间:0.1S,1S; 4.测量分辨率:5位/0.1S,6位/1S; 5.用图形液晶显示状态、单位等。 充分利用单片机软、硬件资源,在其控制和管理下,完成数据的采集、处理和显示等工作,实现频率、周期的等精度测量方案。在方案设计中,要充分估计各种误差的影响,以获得较高的测量精度。 (2)扩展要求 用语音装置来实现频率、周期报数。 (3)误差测试 调试无误后,可用数字示波器与其进行比对,记录测量结果,进行误差分析。 (4)实际完成的要求及效果 1.测量范围:0.1Hz~4MHz,周期、频率测量可调; 2.闸门时间:0.05s~10s可调; 3.测量分辨率:5位/0.01S,6位/0.1S; 4.用图形液晶显示状态、单位(Hz/KHz/MHz)等。 3、硬件电路设计 (1)总体设计思路

本次设计的智能数字频率计可测量矩形波、锯齿波、三角波、方波等信号的频率。系统共设计包括五大模块: 主芯片控制模块、整形模块、分频模块、档位选择模块、和显示模块。设计的总的思想是以AT89S52单片机为核心,将被测信号送到以LM324N为核心的过零比较器,被测信号转化为方波信号,然后方波经过由74LS161构成的分频模块进行分频,再由74LS153构成的四选一选择电路控制档位,各部分的控制信号以及频率的测量主要由单片机计数及控制,最终将测得的信号频率经LCD1602显示。 各模块作用如下: 1.主芯片控制模块: 单片机AT89S52 内部具有2个16位定时/计数器T0、T1,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出时中断要求的功能。利用单片机的计数器和定时器的功能对被测信号进行计数。以AT89S52 单片机为控制核心,来完成对各种被测信号的精确计数、显示以及对分频比的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。 2.整形模块:整形电路是将一些不是方波的待测信号转化成方波信号,便于测量。本设计使用运放器LM324连接成过零比较器作为整形电路。 3.分频模块: 考虑单片机利用晶振计数,使用11.0592MHz 时钟时,最大计数速率将近500 kHz,因此需要外部分频。分频电路用于扩展单片机频率测量范围,并实现单片机频率测量使用统一信号,可使单片机测频更易于实现,而且也降低了系统的测频误差。本设计使用的分频芯片是74LS161实现4分频及16分频。 4.档位选择模块:控制74LS161不分频、4分频或者 16分频,控制芯片是74LS153。 5.显示模块:编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示,本设计选用LCD1602。 (2)测频基本设计原理 所谓“频率”,就是周期性信号在单位时间(1s)内变化 的次数。若在一定时间间隔T内测得这个周期性信号的重复变 化次数N,则其频率可表示为f=N/T(右图3-1所示)。其中脉 冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等 。利用单片机的定时/计数T0、T1的定时、计数 于被测频率f x 功能产生周期为1s的时间脉冲信号,则门控电路的输出信号持图3-1

数字逻辑数字频率计的设计课程设计报告

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:数字频率计的设计 系别:网络与通信工程系 专业:网络工程(无线传感器网络方向)组别:第七组 起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺 计算机与信息工程学院二○一二年制

课程设计任务书

目录 1绪论 (1) 1.1设计背景 (1) 1.2主要工作和方法 (1) 1.3本文结构 (1) 2相关知识 (1) 2.1数字频率计概念...................................................................................................................... .. (1) 2.2数字频率计组成 (1) 3系统设计 (2) 4系统实现 (2) 4.1计数译码显示电路 (2) 4.2控制电路 (3) 5系统测试与数据分析 (5) 6课程设计总结与体会 (8) 6.1设计总结 (8) 6.2设计体会 (8) 结束语 (9) 参考文献 (9) 附录 (10) 致谢 (12)

1绪论 1.1设计背景 数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。 随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。 1.2主要工作和方法 设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。 1.3本文结构 本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。 2相关知识 2.1数字频率计介绍 2.1.1数字频率计概念 数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。 2.1.2数字频率计组成 数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

数字频率计的设计

长安大学 电子技术课程设计 数字频率计的设计 专业: 班级: 姓名 指导教师: 日期:

目录 引言 第一章系统概述 一、设计方案的选择 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 附录

引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1) 计数值N1 被测信号 标准闸门 T 图 1-1-1 测频法测量原理 设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:δf1=(f1-f)/f=1/f 从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

数字频率计设计_数字电子技术课程设计实验报告

电子技术基础 课程设计 题目名称:数字频率计设计 评语: 成绩: 重庆大学电气工程学院 2015年7月6日 目录 摘要 (1) 1、设计的目的及要求 (2) 1.1、设计目的 (2) 1.2、设计要求 (2) 2、设计思路及方案选择 (2) 2.1、设计思路 (2) 2.2、设计方案选择 (2)

3、设计及仿真 (3) 3.1、总体框图 (3) 3.2、各模块功能实现及介绍 (3) (1)整形电路 (3) (2)时钟产生及分频电路 (4) (3)T触发器 (5) (4)单稳触发器 (6) (5)计数器 (7) (6)锁存器 (8) (7)显示 (8) (8)小数点功能的实现 (8) 3.3全部电路及功能测试 (10) 4、焊接规划及实物设计 (12) 4.1、逻辑设计图转换 (12) 4.2、电路VCC\GND端共线设计 (12) 4.3、焊接元器件及排针 (12) 4.4、元件接线及电流引入 (12) 5、总结与感想 (12) 参考文献 (14)

摘要 作为数字电子技术、模拟电子技术中最常用的基本参数,频率经常会被应用到各种数据的计算当中。这就导致数字频率计在电子技术领域应用广泛,其作为一种最基本的测量仪器以其测量精度高、速度快、操作简便、数字显示等特点被广泛应用。本文主要介绍制作简易数字频率计的原理、方法以及设计思路。以74LS系列常用电子集成电路为例,分析如何利用整形、计数、分频、译码电路实现对于矩形波、三角波、方波等信号的频率分析及显示。本文以作者二人小组的设计为蓝本,分享设计经验,为有制作需求及意愿的人提供施行经验。 关键字:频率计整形电路分频电路计数方式

基于单片机的简单频率计课程设计报告

《单片机原理与接口技术》课程设计报 告 频率计

1功能分析与设计目标 0 2频率计的硬件电路设计 (3) 2.1 控制、计数电路 (3) 2.2 译码显示电路 (5) 3频率计的软件设计与调试 (6) 3.1软件设计介绍 (6) 3.2程序框图 (8) 3.3功能实现具体过程 (8) 3.4测试数据处理,图表及现象描述 (10) 4讨论 (11) 5心得与建议 (12) 6附录(程序及注释) (13)

1 功能分析与设计目标 背景:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。为了实现智能化的计数测频,实现一个宽领域、高精度的频率计,一种有效的方法是将单片机用于频率计的设计当中。用单片机来做控制电路的数字频率计测量频率精度高,测量频率的范围得到很大的提高。 题目要求: 用两种方法检测(△m ,△ T )要求显示单位时间的脉冲数或一个脉冲的周期。 设计分析: 电子计数式的测频方法主要有以下几种:脉冲数定时测频法(M 法),脉冲周期测频法(T 法),脉冲数倍频测频法(AM 法),脉冲数分频测频法(AT 法),脉冲平均周期测频法(M/T 法),多周期同步测频法。下面是几种方案的具体方法介绍。 脉冲数定时测频法(M 法):此法是记录在确定时间Tc 内待测信号的脉冲个数Mx ,则待测频率为: Fx=Mx/ Tc 脉冲周期测频法(T 法):此法是在待测信号的一个周期Tx 内,记录标准频率信号变化次数Mo。这种方法测出的频率是: Fx=Mo/Tx 脉冲数倍频测频法(AM 法):此法是为克服M 法在低频测量时精度不高的缺陷发展起来的。通过A 倍频,把待测信号频率放大A 倍,以提高测量精度。其待测频率为: Fx=Mx/ATo 脉冲数分频测频法(AT 法):此法是为了提高T 法高频测量时的精度形成的。由于T 法测量时要求待测信号的周期不能太短,所以可通过A 分频使待测信号 的周期扩大A倍,所测频率为: Fx=AMo/Tx 脉冲平均周期测频法(M/T法):此法是在闸门时间Tc内,同时用两个计数器分别记录

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

电子技术课程设计(数字频率计的设计)

一课程设计题目:数字频率计的设计 二、功能要求 (1)主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。 (2)率范围:分四1Hz~999Hz、01kHz~9.99kHz、1kHz~99.9kHz、10~999KHZ (3)周期范围:1ms~1s。 (4)用3个发光二极管表示单位,分别对应3个高档位。 三频率计设计原理框图 正弦波 数字频率计原理框图 1

测试电路原理:在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s 的闸门信号。改闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关。 被测信号 频率测量算法对应的方框图 四、各部分电路及仿真 1 整形电路部分 整形电路的目的是将三角波、正弦波变成方便计数的脉冲信号。整形电路可以直接用555定时器构成施密特触发。 本次设计采用555定时器,适当连接若干个电阻就可以构成触发器 图1-1 整形电路 将555定时器的THR和TR1两个输入端连在一起作为信号输入端,则可得到 显示电路 闸门产生 输入电路闸门计数电路

施密特触发器,为了提高其稳定性通常要在要在CON端口接入一个0.01uf左右的滤波电容。但使用555定时器的时候输入的电压应该要大于5V,本次设计直接用信号源来做输入信号,并且信号源的振幅为10V,没有用放大电路将信号放大。 2 时基电路 时基电路时用来控制闸门信号选通的时间,由于本次设计的频率计测试范围是0到999KHz,故时基信号要有1ms 10ms 100ms 1s,基于上述,还需要一个分频器分出不同的频率。设计过程如下:可用一个多谐振电路产生频率为1KHz的脉冲信号(即T=1ms),然后使用分频器产生10ms 100ms 1s。 多谐振电路可以采用555定时器或者晶体振荡器来完成。本次设计采用555定时器实现,本次设计的精确度要求比较低,而且555定时器组成的多谐振荡起的最高振荡频率只能最多1MHz,而我们将用555定时器产生1Kz的频率,满足在该范围之内。分频器采用10分频,可用74LS90或者74LS160。 图2-1555定时器构成的多谐振振荡器 555多谐振振荡器设计参数:设计一个震荡周期为1ms,输出的占空比 2 3 q

数字频率计设计报告

数字电子技术课程设计 数字频率计的设计 姓名:杜昌波 学院:工学院 专业:电气工程及其自动化 学号:12100 505 指导教师:刘权吴敏 2014年06月04日

目录 目录 (2) 1 设计任务与要求 (3) 1.1 基本功能 (3) 1.2 扩展功能 (3) 2 设计原理 (3) 3 电路设计 (4) 3.1 整形电路 (4) 3.2脉冲波形产生及分频电路 (6) 3.3 闸门电路 (8) 3.4 计数电路 (9) 3.5 锁存显示电路 (11) 3.6 超量程报警显示电路 (12) 3.7 单稳态触发器电路 (13) 3.8 整机电路 (14) 3.9 说明 (15) 3.10 仿真结果 (15) 4 元器件清单 (19) 5 设计体会 (21) 参考资料 (22)

数字频率计的设计(第十组) 1 设计任务与要求 1.1 基本功能 1)能够测量正弦信号,矩形信号等波形的频率; 2)测量信号的频率范围为1HZ~100KHZ,分辨率为1HZ; 3)测量结果直接用十进制数值计数,通过五个数码管显示; 4)具有自较和测量两种功能; 5)测量误差小于5%; 6)多谐振荡器采用12M晶振电路,闸门用与门实现,显示用共阳极数码管。 1.2 扩展功能 1)分成四个频段,即1~99Hz,100~1KHz,1~10KHz,10~100KHz; 2)有超量程警告功能,当测量信号频率超过所选档位的量程时,频率计发出铃声警报。 2 设计原理 脉冲信号的频率就是在单位时间(1s)里产生的脉冲个数,若在一定时间间隔tw内测得这个周期信号的重复变化次数为N,则其频率可表示为: f=N/T 数字频率计的总体框图如图1所示: 图1

数字频率计的设计

数字频率计的设计 摘要:采用STC89C52RC单片机作为系统的核心控制器件,该系统采用直流供电,由信号输入模块、信号相加模块、滤波模块、信号比较器模块,电平转换模块组成,具有信号输入、测信号频率、测量矩形方波占空比的功能,并且具有测量精度高功耗低、抗干扰能力强等特点。

1 方案设计与比较

信号混合电路模块 方案一:同相加法器。加法器是一种数位电路,其可进行信号的加法计算。加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。同相加法器输入阻抗高,输出阻抗低反相加法器输入阻抗低,输出阻抗高当选用同相加法器时,如A输入信号时,因为是同相加法器,输入阻抗高,这样信号不太容易流入加法器,反而更容易流入B端,而影响到B端的正常使用;同样,如B输入信号时,容易流入A端,而影响到A端的正常使用。 方案二:反相加法器。当选用反相加法器时,因为加法器输入阻抗低,不管是A端,还是B端信号,更容易流入加法器,而不会影响其它路的正常使用。 综上所述选择方案一。 滤波电路模块 方案一:选用有源二阶切比雪夫高通滤波器。切比雪夫滤波电路在通带或阻带上频率响应幅度等波纹波动的滤波器。切比雪夫滤波器在过渡带比巴特沃斯滤波器的衰减快,但频率响应的幅频特性不如后者平坦。切比雪夫滤波器和理想滤波器的频率响应曲线之间的误差最小,但是在通频带内存在幅度波动,有可能有纹波波动导致电压达到施密特触发器的上限或下限出发电平,导致误触发,输出方波可能严重失真。 方案二:选用有源二阶巴特沃斯高通滤波器。巴特沃斯滤波电路的幅频响应在通带中具有最平幅度特性没有起伏,而在阻频带则逐渐下降为零,由于巴特沃斯滤波电路的幅频响应曲线很平滑,没有起伏,可以有效规避施密特比较器中的误触发,所以选用幅频响应曲线最平滑的巴特沃斯型滤波器,可以有效规避误触发。 综上所述选择方案二。

南京邮电大学课程设计报告-简易数字频率计

目录 第一章技术指标 整体功能要求 系统结构要求 电气指标 扩展指标 设计条件 第二章整体方案设计 算法设计 整体方框图及原理 第三章单元电路设计 时基电路设计 闸门电路设计 控制电路设计 小数点显示电路设计 整体电路图 整机原件清单 第四章测试与调整 时基电路的调测 显示电路的调测 4-3 计数电路的调测 控制电路的调测 整体指标测试 第五章设计小结 设计任务完成情况 问题及改进 心得体会 第一章技术指标

1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 3.电气指标 被测信号波形:正弦波、三角波和矩形波。 测量频率范围:分三档: 1Hz~999Hz ~ ~ 测量周期范围:1ms~1s。 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~的精度均为+1。 5.设计条件 电源条件:+5V。 可供选择的元器件范围如下表

门电路、阻容件、发光二极管和转换开关等原件自定。 第二章 整体方案设计 算法设计 频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图2-1所示的算法。图2-2是根据算法构建的方框图。 被测信号

电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差在10 3量级,则要求闸门信号的精度为10 量级。例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ,闸门信号的误差不大于,固由此造成的计数误差不会超过1,符合5*10 3的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 3范围内。 整体方框图及原理 输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。 频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号由RC振荡电路构成一个较稳定的多谐振荡器,经4093整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。 周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。

数字频率计_课程设计报告

电气与信息工程学院 数字频率计 设计报告书 前言 摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的 测量就显得更为重要。测量频率的方法有多种,其中数字计 数器测量频率具有精度高、使用方便、测量迅速,以及便于 实现测量过程自动化等优点,是频率测量的重要手段之一。 其原理为通过测量一定闸门时间内信号的脉冲个数。本文阐 述了设计了一个简单的数字频率计的过程。 关键词:频率计,闸门,逻辑控制,计数-锁存

目录 第一章设计目的 第二章设计任务和设计要求 2.1 设计任务及基本要求 2.2.系统结构要求 第三章系统概述 3.1概述 3.2设计原理及方案 第四章单元电路设计及分析 4.1 时基电路 4.2逻辑控制电路 4.3计数电路 4.4锁存电路 4.5显示译码电路 4.6 闸门电路 第五章安装与调试过程 5.1 电路的安装过程 5.2 电路的调试过程 5.3 出现的问题及解决办法 第六章结果分析 第七章收获与体会

第八章元件清单 第九章实现结果实物图 附录A 参考文献 第一章 设计目的: 1.了解数字频率计测量频率与测量周期的基本原理; 2.熟练掌握数字频率计的设计与调试方法及减小测量误 差的方法。 3.本设计与制作项目可以进一步加深我们对数字电路应 用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。 4.针对电子线路课程要求,对我们进行实用型电子线路设 计、安装、调试等各环节的综合性训练,培养我们运用课程中所学的理论与实践紧密结合,独立地解决实际问题的能力。

第二章 设计任务及要求: 2.1设计任务及基本要求: 设计一简易数字频率计,其基本要求是: 1)测量频率范围0~9999Hz; 2)最大读数9999HZ,闸门信号的采样时间为1s;. 3)被测信号可以是正弦波、三角波和方波; 4)显示方式为4位十进制数显示; 5)完成全部设计后,可使用EWB进行仿真,检测试验设计电路的正确性。 2.2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量。 波形 整 形 计 数 器 数 码 显 示 振荡 电 路分 频 器 控 制 门 数 据 锁 存 器 显 示 译 码 器 被测 信 号

数字频率计课程设计报告

《数字频率计》技术报告 一、问题的提出 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速地跟踪捕捉到被测信号频率的变化。而频率计则能够快速准确的捕捉到被测信号频率的变化。 在传统的生产制造企业中,频率计被广泛的应用在生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以由有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。 二、解决技术问题及指标要求 1、技术指标

被测信号:正弦波、方波或其他连续信号; 采样时间:1秒(0.1秒、10秒); 显示时间:1秒(2秒、3秒......); LED显示; 灵敏度:100mV; 测量误差:±1H z。 数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。一般T=1s,所以应要求定时器尽量输出为1s的稳定脉冲。 2、设计要求 可靠性:系统准确可靠。 稳定性:灵敏度不受环境影响。 经济性:成本低。 重复性:尽量减少电路的调试点。 低功耗:功率小,持续时间长。 三、方案可行性分析(方案结构框图) 1、原理框图

数字频率计的设计与实现课程设计

课程设计任务书 学生:专业班级:通信 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《理工大学课程设计工作规》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (6) 1.1.4方案确定 (7) 1.2 原理及技术指标 (8) 1.3 单元电路设计及参数计算 (9) 1.3.1时基电路 (9) 1.3.2放大整形电路 (10) 1.3.3逻辑控制电路 (11) 1.3.4计数器 (13) 1.3.5锁存器 (15) 1.3.6译码电路 (16) 2仿真结果及分析 (16) 2.1仿真总图 (16) 2.2单个元电路仿真图 (17) 2.3测试结果 (20) 3测试的数据和理论计算的比较分析 (20) 4制作与调试中出现的故障、原因及排除方法 (20) 4.1故障a (20) 4.2故障b (21) 4.3故障c (21) 4.4故障d (21) 4.5故障e (22) 5 心得体会 (22)

数字频率计设计报告

数字频率计设计报告 学院: 姓名: 学号: 专业: 指导老师: 2008-11-11

一.内容介绍 数字频率计是用来测量信号频率的装置。它可以测量正弦波、方波、三角波和尖脉冲信号的频率。在进行模拟、数字电路的设计、安装、调试过程中,经常要用到频率计。 由于其用十进制数显示,测量速度、精度高、显示直观,因此频率计得到广泛的应用。 二.设计内容、技术指标及框图 设计内容: 设计只用一只数码管显示结果的数字频率计。 技术指标: 1.被测量信号频率范围:1KHZ-999KHZ 2.测量精度:测量显示3位有效数字 3.时基时间宽度:1ms 4.测试和显示方法: (1)只用一只数码管显示结果。 (2)每2秒钟自动测试一次,按百、十、个、全灭的顺序逐位显示测试结果,每位的显示时间为0.5秒。 数字频率计的框图:如图1。 图1 频率计系统框图

三.单元电路设计 1. 时基产生电路 时基信号的产生电路可用石英晶体振荡器经分频后得到高稳定度的时基信号。图2采用CC4060十四级计数器构成0.5s脉冲(3)和毫秒脉冲1ms时基信号。12脚接地。 图2 秒脉冲和毫秒脉冲时基产生电路 2.节拍信号发生器 设计要求每2秒自动测试一次,按百、十、个、灭的顺序逐位显示测试结果。由此可知,节拍信号发生器需产生四种状态的变化,变化周期为2秒。四种状态信号可以提供给数据选择器的地址端,用来逐位显示百、十、个、灭,2秒的周期信号用来控制计数器计数,保持和清零。如图3。 节拍信号发生器

图3 节拍信号发生器及波形 3.整形电路 将输入的被测信号送入施密特触发器74LS132的输入端,其输入将得到矩形波至闸门输入如图4。 图4 整形电路 4.控制电路(门控电路) 要求控制器每2秒向主闸门输入一个时间为2秒,采样脉宽为1ms的周期信号,如图5。 采用2个D触发器,以时基信号T=1ms作为同步时钟脉冲。

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

EDA课程设计,数字频率计

目录 前言 (1) 1. 总体设计方案 (2) 1.1总体设计方案 (2) 2. 单元模块设计 (2) 2.1十进制计数器设计 (2) 2.1.1 十进制计数器原件cnt10设计 (2) 2.1.2 位十进制计数器的顶层设计 (4) 2.2闸门控制模块EDA设计 (5) 2.2.1 定时信号模块Timer (5) 2.2.2 控制信号发生器模块T_con (6) 2.3译码显示模块 (7) 2.3.1 显示寄存器设计 (7) 2.3.2 译码扫描显示电路 (8) 2.3.3 译码显示模块的顶层电路设计 (11) 3. 软件测试 (12) 3.1测试的环境 (12) 3.2调试和器件编程 (13) 4. 设计总结 (14) 5. 参考文献 (14)

前言 在电子技术高度发展的今天,各种电子产品层出不穷,而频率作为设计的最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程的自动化等优点。 数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器,它的基本功能是测量正弦信号、方波信号、尖脉冲信号以及其它各种单位时间变化的物理量。当今国外厂家生产的数字频率计在功能和性能方面都比较优良,而且还在不断发展中,但其结构比较复杂,价位也比较高,在测量精准度要求比较低的测量场合,使用这些数字频率计就不够经济合算。我所设计的这款数字频率计能够可靠实现频率显示功能,原理及结构也比较简单本次所做的课程设计就是一个数字频率计,能测量1HZ~9999HZ的矩形波信号,并正确地显示所测信号的频率值。 数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着现场可编程门阵列FPGA 的广泛应用,以EDA工具作为开发手段,运用VHDL等硬件描述语言语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。 采用FPGA现场可编程门阵列为控制核心,通过硬件描述语言VHDL编程,在Quartus‖仿真平台上编译、仿真、调试,并下载到FPGA芯片上,通过严格的测试后,能够较准确地测量各种常用的波形信号的频率,而且还能对其他多种物理量进行测量。

verilog简易数字频率计报告

一、实验原理 根据原理图,将计数器模块、显示模块、扫描模块、译码器模块等分别做出。其原理是在1S内用待测信号给计数器计数,并在一秒结束时给计数器清零,计出来用缓存器缓存,在数码管中显示出来。 二、方案论证 一、通过50M的时钟进行计数获得精密的1HZ——计数器用Verilog HDL 语言实现在1HZ为底电平时计数——门控电路用或门开启——1HZ为高电平时进行数据锁存与显示——利用Verilog HDL语言使前面的0不显示。 计数器用Verilog HDL语言在写代码时可以用复制粘贴的方法可以简便

的实现。通过50M的时钟进行计数获得精密的1HZ后只是经过很短的时间内进行计数器的清零及数据的琐存,并且得到的是1HZ的精密时钟。把锁存的数据进行清0的转换后利用分时扫描,后通过数码管译码显示。 说明: Cnt9999:0000~9999计数器; Buffer:锁存器; Scan:扫描显示 共8个模块 三、实验步骤 一、计数器模块 计数器模块的仿真波形 二、锁存模块 利用32位的D触发器进行储存计数器送给它的数据。在时钟为上升沿的时候触发保存数据。 锁存模块的源代码: module buffer_32(clr,clear,in,out); input clear,clr; input[31:0] in; output[31:0] out; reg[31:0] out; always@(posedge clear or negedge clr) if(!clr)out<=0; //else if(clear)out=in; else out<=in; endmodule

数字频率计的设计

& 长安大学 电子技术课程设计 数字频率计的设计 ) 专业: 班级: 姓名 指导教师: : 日期:

目录 引言 第一章系统概述 一、设计方案的选择 ¥ 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 | 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 " 附录

} 引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 《 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

… 第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 、 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1)

相关文档
相关文档 最新文档