文档库 最新最全的文档下载
当前位置:文档库 › 电子电路综合实践报告

电子电路综合实践报告

电子电路综合实践报告
电子电路综合实践报告

电子电路综合实践

系(部)电力工程系

学科专业电气工程及其自动化

班级电气工程14-5班

姓名杨涛

学号 20142107160 指导教师石老师

二〇一六年六月三十日

评定意见参考提纲:

1、学生完成的工作量与内容是否符合任务书的要求。

2、学生的勤勉态度。

3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

实践评定意见

实践题目电子电路综合实践

系部电力工程系专业班级电气工程14-5学生姓名袁涛学生学号2014123456

评定意见:

评定成绩:

指导教师(签名):年月日

电力工程系(部)实践任务书

2015-2016学年第二学期2016年6月3日

专业电气工程及

其自动化

班级

电气工程

14-5班

实践名称电子电路综合实践

实践题目人体反应力测试电路指导教师石老师

起止时间2016.06.06-

2016.07.01

周数四周实践地点实验楼A209

实践目的:1、贯彻理论联系实际的教学原则,巩固和扩大已学过的电子技术的基础知识,为技术基础课和专业课程的学习建立初步的感性认识并提高学生的工程实践能力。

2、掌握印刷电路板的设计与制作的基本方法。

3、了解电子产品的生产过程,包括生产工艺流程,电子元器件的识别、老化、筛选、

测试、焊接、装配及调试,掌握电子线路的安装、焊接和调试的基本技能。

4、了解一种电子设备主要零部件加工过程的技术要求、结构原理以及装配调试工艺。

5、培养学生的劳动观念,加强组织性和纪律性,促进学生综合素质的全面提高。

实践任务或主要技术指标:

1、计算机软件应用能力及Protel99SE训练

2、电子电路设计能力训练,熟悉所制作电路的工作原理,能画出原理图和安装图。

3、电子电路制作能力训练,掌握印刷电路板(PCB)的设计方法与制作工艺。

实践进度与要求:

第一周:软件学习(即Protel99SE学习)

要求:掌握Protel99 SE系统需求、安装及环境设置;Protel99 SE原理图绘制;Protel99 SE电路仿真设置及过程;Protel99 SE PCB电路板设计。

第二周:电子设计

要求:学生参考教纲的线路,自己设计电路。并能达到教学大纲的要求。掌握电子电路的设计方法;熟悉各种电子元件、集成电路的性能及使用方法。

第三周、第四周:电子制作

要求:掌握电子仪器设备的结构构成;电子元器件的识别和筛选;掌握万用表、示波器、晶体管图示仪、函数号发生器等有关常用电子仪器的使用方法。了解印刷电路板的设计、定稿及制板等生产制造过程。了解焊料与焊剂,熟悉焊接工艺;较好地掌握主要参考书及参考资料:

[1] 王毓银.数字电路逻辑设计.高等教育出版社,2005.

[2] 彭介华.电子技术课程设计.高等教育出版社,2003.

[3] 谢自美.电子线路设计??实验?测试(第二版).华中科技大学出版社,2004.

[4] 杨兴瑶.实用电子电路500例.化学工业出版社,1996.

[5] 阎石.数字电子技术基础.高等教育出版社,1997.

教研室主任(签名)系(部)主任(签名)

摘要

随着科学技术的发展和普及,各种电子趣味制作,成了我们生活中娱乐的一部分。人体反应力,在学校同学们之间,成了相互比赛的活动。人体反应力测试器电子制作,随之而生。实践作品主要由触发器、延时器、门电路等组成,来实现对不同的人,对于极限的反应速度。使用4块芯片,结果演示较为直接,也具有趣味性强等特点。

实践报告中主要包括三个部分:第一部分主要对Protel 99 SE这一软件的学习过程作了详细的介绍,基于Protel 99 SE的功能对其绘图过程作了详尽的叙述,通过对其绘图过程的学习后,大量练习进行知识巩固与熟练;第二部分是在第一部分基础知识的学习完成后,进行了小组设计探究部分,这一部分内容主要包含了绘制原理图,做PCB板,元件选择等内容,详述了设计过程和设计中遇到的问题;第三部分是电子制作,通过书本知识,制作到实物产生的过程,也是在制作中趣味性较强的重要一步。

关键词:Protel99SE软件原理图绘制 PCB板 LED 焊接工艺

目录

1 Protel 99 SE软件学习 (1)

1.1 Protel 99 SE概述 (1)

1.2 原理图绘制学习 (3)

1.3 常用基本设置操作 (6)

1.4 练习绘制原理图 (10)

1.5 原理图仿真 (14)

1.6 PCB板绘制学习 (19)

1.7 PCB的布线及相关设置 (30)

2 电子设计 (32)

2.1 电子设计题目 (32)

2.2 COMS芯片引脚及功能 (33)

2.3 电路说明 (34)

2.4 电子设计中遇到的问题及解决方案 (35)

3电子制作 (36)

3.1电路原理图制板 (36)

3.2 电路元件的清单和选择以及常用元件识别 (38)

3.3元件检测、识别及万用表使用 (40)

3.4面包板功能及使用 (44)

3.5 面包板调试结果 (46)

3.6 焊接工艺 (47)

3.7 实物焊接 (49)

3.8调试过程中问题及解决方法 (49)

3.9实物展示 (52)

总结 (53)

致谢 (54)

参考文献 (55)

1 Protel 99 SE软件学习

1.1 Protel 99 SE概述

1.1.1 Protel 99 SE 的组成

Protel 99 se 软件是电子线路设计与制板方面的佼佼者,它的功能十分强大,集原理图设计、可编程逻辑器件的建立、电路混合信号仿真、印制电路板(PCB)设计与布线、信号完整性检查以及设计规则分析等功能于一身,利用鼠标和键盘就可以轻松进行设计工作,操作起来非常方便,极大的提高了设计工作的效率。在电子电路设计领域占有极其重要的地位,获得广大硬件设计人员的青睐,是目前众多电路板EDA设计软件中用户最多的产品。

Protel 99 SE主要由两部分组成,每个部分各有几个模块:

第一部分是电路设计部分(Advanced Schematic 99):

电路设计部分包括电路图编辑器(简称SCH编辑器)、电路图零件库编辑器(简称Schlib编辑器)和各种文本编辑器。本系统的主要功能是:绘制、修改和编辑电路原理图;更新和修改电路图零件库;查看和编辑有关电路图和零件库的各种报表;印刷电路板设计系统(Advanced PCB 99)印刷电路板设计系统包括印刷电路板编辑器(简称PCB编辑器)、零件封装编辑器(简称PCBLib编辑器)和电路板组件管理器。本系统的主要功能是:绘制、修改和编辑电路板;更新和修改零封装;管理电路板组件;自动布线系统(Advanced Route 99)本系统包含一个基于形状(Shape-based)的无栅格自动布线器,用于印刷电路板的自动布线,以实现PCB设计的自动化。

第二部分是电路仿真和可编程逻辑器件设计部分:

电路模拟仿真系统(Advanced SIM 99)电路模拟仿真系统包含一个数字/模拟信号仿真器,可提供连续的数字信号和模拟信号,以便对电路原理图进行信号模拟仿真,从而验证其正确性和可行性;可编程逻辑设计系统(Advanced PLD 99可编程逻辑设计系统包含一个有语法功能的文本编辑器和一个波形编辑器(Waveform)。本系统的主要功能是;对逻辑电路进行分析、综合;观察信号的波形。利用PLD系统可以最大限度的精简逻辑部件,使数字电路设计达到最简化。

1.1.2 Protel 的特点、发展及运行环境

(1)Protel 的特点:

Protel 99 SE的特点,包括如下几个方面:

①灵活、方便的编辑功能,功能强大的自动化设计。

②完善的库管理功能,原理元器件库和PCB封装库。

③良好的兼容性和可扩展性,综合设计数据库,使用设计数据库,可以为

用户提供一个良好的平台。

④在设计管理器中工作,使用网络设计组,可以实现基于异地设计的全部

设计方法。

⑤原理图快速连线。

⑥优越的混合信号电路仿真。

⑦更容易进行PLD设计,可以惊醒适合用户需要的逻辑器件设计。

⑧简便的同步设计,精确的信号完整性分析。

⑨增强的手动推挤布线方式,新的布线倒角风格。

⑩增强的元器件布局工具。可以实现对原建图自动布局。增强的PCB设计原则。

快速生成元器件类。

?创建计算机辅助制造文件CAM输出文件,包括NC钻孔报表文件及BOM文件。

?强大的电路图层面管理功能,可以让用户创建各种多面板。

?PCB可支持设计层数为32层、板图大小为(2540mm*2540mm)或(100in*100in)的多层线路板。

(2) Protel 99 SE的发展:

Protel 99SE是ProklTechnology公司开发的基于Windows环境下的电路板设计软件。该软件功能强大,人机界面友好,易学易用,仍然是大中专院校电学专业必学课程,同时也是业界人士首选的电路板设计工具。Protel 99SE 由两大部分组成:电路原理图设计(Advanced Schematic)和多层印刷电路板设计(Advanced PCB)。其中Advanced Schematic由两部分组成:电路图编辑器(Schematic)和元件库编辑器(Schematic Library)。

CAD技术的应用,大推动了电子\微电技术的进步,技术的进步和市场竞争,又导致CAD技术的不断完善, Protel 99 SE适应电子技术的发展,是目前电子CAD领域应用最为广泛的辅助设计软件之一。Protel99SE主要应用于电子电路原理图的设计、电路板的设计和绘制以及电子电路逻辑分析和仿真等,他具有丰富多样的编辑功能、强大便捷的自动化设计能力、完善有效的检测工具、灵活有序的设计管理手段,它为用户提供了极其丰富的原理图元器件苦、PCB元器件库以及出色的在线库编辑和库管理,良好的开放性还使他可以兼容多种格式的设计文件,使用户可以轻松地控制电子线路设计的全过程。

(3)Protel 99 SE的运行环境:

①建议硬件基本配置

CPU:≥ Pentium Ⅱ400MHz以上PC机;

内存:≥128MB;

显卡:支持800×600×16位色以上显示;

光驱:≥24倍速;

②操作系统

Microsoft Windows NT 4.0或以上版本(含中文版)。

Microsoft Windows 95/98/2000或以上版本(含中文版)。

注:由于系统在运行过程中要进行大量的运算的存储,所以对机器的性能要求也比较高,配置越高越能发挥它的性能。

1.2 原理图绘制学习

1.2.1 电路原理图的绘制步骤

在Protel 99 SE中,设计电路板最基本过程有以下3个步骤:

(1)电路原理图的设计

电路原理图的设计主要是利用Protel 99 SE中的原理图设计系统Advance Schematic 99 来绘制一张电路原理图。在这一部中,可以充分利用其所提供的各种原理绘图工具、丰富的在线库、强大的全局编辑能力以及便利的电气规则检查,来达到设计目的。

(2)产生网络表及其他报表

网络表是电路板自动布线的灵魂,也是原理图设计与印制电路板设计的主要接口。网络表可以从电路原理图中获得,也可以从印制电路板中提取。其他报表则存放了原理图的各种信息。

(3)印制电路电路板的设计

印制电路板设计是电路设计的最终目标.利用Protel 99 SE的强大功能实现电路板的版面设计,完成高难度的布线以及输出报表等工作。

概括地说,整个电路板的设计过程先是编辑电路原理图,并且生成网络表,然后进行布局,再人工布线或根据网络表进行自动布线.前面谈到的这些内容都是设计中最基本的步骤。

在此补充一下,原理图设计完成后,我们可用电路信号仿真进行验证调整,电路信号仿真是原理图设计的扩展,为用户提供一个完整的从设计到验证的仿真设计环境。它与Protel 99 SE原理图设计服务器协同工作以提供一个完整的前端设计方案。

除此以外,用户还可以用Protel 99 SE的其它服务器,如创建、编辑元器件和零件封装库等。

1.2.2 进行电路原理图绘制的操作步骤

(1) Protel 99 SE的界面介绍

进入Protel 99 SE从桌面鼠标双击图标进入Protel99 SE主窗口。

图1.1 protel初始界面

如图1.1所示。

(2)Protel 99 SE的菜单栏

① File菜单

主要用于文件的管理,包括文件的打开、新建等.选项及功能如下:

② New新建一个空白文件,文件的类型为综合型数据库,格式“ddb”.

③ Open打开并装入一个已经存在的文件,以便进行修改.

④ Exit退出Protel 99 SE.

⑤ View菜单

用于切换设计管理器、状态栏、命令行的打开与关闭,每项均为开关量,鼠标单击一次,其状态改变一下。

⑥Help菜单

用于打开帮助文件。

(3)新建文件夹

如图1.2在Protel99 SE主窗口中,单击菜单File-New,弹出“新建设计数

据库”对话框

图1.2 新建文件

如图1.3所示。在该对话框中,Design Storage Type选项表示数据库形式,默认选择是MS Access Database(数据库形式);Database File Name选项表示数据库文件名;点击Browse按钮,可选择文档放置路径,点击OK按钮确认,关闭该对话框,并弹出窗口,如图1.4所示:

图1.3 新建文件界面

图1.4 protel主界面

双击文件夹Documents,进入该文件夹,单击菜单File-New,在弹出的“新建文件类型选择”对话框中,如图1.5所示,选中Schematic Document图标,以建立一个原理图文件(.Sch)。点击OK按钮。

图1.5 新建工程小界面

回到Proetl99SE窗口后,修改原理图文件名,然后双击该文件图标,进入原理图设计窗口,如图1.6所示。

图1.6 划线图纸

1.3 常用基本设置操作

(1)图纸的设置

Protel 99 SE默认的图纸尺寸是B型图纸,这里以A4为例。在如图1.7所示,在画图区下点击鼠标右键。

图1.7 纸张大小改变

之后会出现图1.8所示,单击Document Options …后出现图1.9所示,在它上面可以改图纸大小。

图1.9 图纸选项栏

图1.8 右键选项栏

(2)元器件布局

布局原则:布局原则应该是以核心器件为基准,合理分散,间距得当,便于连线。

布局的基本操作:在布局过程中,将应用到元器件对等的选定、移动、旋转等操作。

①选定操作

选定一个对象。拖动鼠标,利用其画出的矩形框围住这个元器件对象,默认情况下,其四周会出现黄色的矩形框,表示该元器件被选定。

选定区域内对象。利用其画出的矩形框围住这个区域,则区域内所有对象处于选定状态。

②取消选定

取消选定就是撤销元器件的选定状态。最直接的方法是单击主工具栏的图标,则会取消所有元器件的选定状态。

③移动对象

移动一个对象。单击该对象图形符号,并拖动鼠标,则可以实现该元器件的移动操作。

移动多个对象。首先选定这几个对象,让后拖动其中任意一个对象,则被选定的对象,将一起移动。

④旋转对象

由于电路布局和连线的需要,旋转对象是必要的。单击对象并按住鼠标左键不放,按“X”键,实现水平翻转;按“Y”键,实现垂直翻转;按空格键,实现90°的旋转。如图1.10所示。

图1.10 三极管的旋转

(3)删除对象

在放错元器件时,单击放错元器件选中,点击键盘上的“DELETE”键就删除该放错的元件了。

(4)连线

①进入画线状态

选择菜单View-Toolbars-Wiring Tools打开画线工具栏,单击画线工具栏的图标进入画线状态,此时出现十字光标。

②画线

移动十字光标指导线起点(如某个元器件的引脚处),在十字光标的中心出现一个黑色的圆点,表明导线起点已经确定,点击鼠标,则画下起点。向导线终点位置移动鼠标,当移动鼠标至导线终点出,在十字光标中心再次出现黑色圆点后,单击鼠标,则画下了该断导线。如图1.11

画起点画终点画线完成

图1.11元件的绘画

(5)修改属性

以修改电阻为例:

双击电阻的图形符号,打开其属性对话框,如图1.12所示。在该属性框中,Lib Ref选项表示该对象在元器件库中的名称;Footprint选项表示该对象的封装形式;Desional选项表示该对象的标号;Part Type选项表示元器件的标称值或型号;Sheet Path选项表示对象所在的电路;Part选项表示对象功能单元的序号;Selection选项表示该对象是否被选定;Hidden Pins选项表示是否显示被隐藏的引脚。

电阻,电解电容,直流电压源等元器件具有欧洲图形,可以在元器件属性设置窗口的图形(Graphical Attlrs)设置页面将图形模式(Mode)从(Normal)转换成DeMorgan。如图1.13所示。

图1.12 元件名称大小设置图1.13 元件标准

1.4 练习绘制原理图

(1)练习一:电工基础电路

图1.14 电工基础电路

注意事项:接地符号需要准确,注意名称,需要GND修改。电源规格为国际标准。连线时注意出现黑色点时再进行连接。

(2)练习二:数字电路

图1.15 定时器电路

注意事项:图中IC符号较为隐秘,需要耐心寻找。中间十字交叉部分不相

连接,不能出现红点。注意关注定时器管脚名称,保证连接正确。

(3)练习三:数字模拟混合电路

图1.16 数模混合电路

注意事项:本电路具有4枚芯片,需要注意各芯片管脚连接。二极管为共阳极连接,需注意二极管连接方向。

(4)练习四:特殊电路

图1.17 特殊电路

注意事项:图中四个二极管为滤波电路,需注意连接方向,注意二极管方向。三极管的方向同样需要注意。

(5)练习5:经典模拟电路

图1.18 模拟电路

注意事项:此电路为经典模拟电路图。两个三极管组成长尾差分放大电路。电源接地需要可靠,注意修改接地名称GND。

(6)练习6:差分放大电路

图1.19 差分放大电路

注意事项:在绘制时注意Q1、Q2两个三极管的方向,为镜面对称,接地符号、标志准确,避免出现有多地情况。

1.5 原理图仿真

1.5.1 添加仿真元器件库

在设计电路原理图时,要先装入元器件库,然后才能在元器件库中取出元器件将其放入图纸中。

步骤1:首先在设计管理器中选择Browse.Sch页面,如图1.18所示。在该页面的Browse区域中的下拉框中选择Libraries。

步骤2:单击Add/Remove按钮,在弹出的窗口上部搜索下拉框中,选择Protel 99 SE所在的文件夹,再选择路径:Protel 99 SE文件夹/Libraries\Sch,在元器件库显示窗口找到Sim,如图1.15所示,单击窗口下部的Add按钮,就可以看到在窗口中的Selected Files区域将显示仿真元器件库Sim的路径,最后单击OK按钮,添加完毕。

图1.20 元件添加库图1.21 原件添加库2 此时在Browse.Sch标签的元器件库列表中,发现My SchLib.lib,表示该库已经被加载,其中的元器件可以被使用了

在Protel99SE中有如下仿真元器件库:

7SEGDISP.Lib:七段数码管库。

BJT.Lib:双极型三极管。

DIODE.Lib:二极管库。

Simulation Symbols.lib:基本仿真元器件库。包括电阻,电容,电感,各种电源等。

选择Simulation Symbols/.lib,查看基本仿真元器件。

CAP:电容。

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

电子电路实训心得体会

电子课程设计心得体会 通过一周的电子设计,我学会了如何将书本上学到的知识应用与实践,学会了一些基本的电子电路的设计、仿真与焊接,虽然在这个过程中我遇到了很多麻烦,但是在解决这些问题的过程中我也提高了自身的专业素质,这次设计不仅增强了自己在专业方面的信心,鼓舞了自己,更是一次兴趣的培养。 这次电子实习,我所选的课题是“倒计时光控跑马灯”,当拿到选题时,我认为这个不是很难。但当认真的考虑时,我才发现一切并非我想的那么简单。无论一个多么简单的课题,他所牵涉的知识比较多的,比如我这个选题不仅仅包括许多模电器件和数电器件,它还包含许多以前我没有接触或熟知的器件。所以我在设计时也在不断的学习,了解每一个器件的结构、工作原理及其运用。经过与搭档的多次交流,我们才确定了最后的电路方案,然后在多次的电路仿真之中,我们又进行了更加完善的修改,以达到万无一失。 第三天的任务主要是焊接自己设计的电路板。开始,我们都充满了好奇,毕竟这是第一次走进实验室去焊接电路板。不过才过了一天,所有的好奇心都烟消云散,换而的是苦与累。我这时才知道焊电路板确实是一件苦差事。焊电路板要人非常的细心,并且要有一定的耐心,因为焊接示若稍不注意就会使电路短路或者焊错。经过一两天的坚苦奋斗,终于焊完的。但当我们去测试时却无法出现预期的结果。然后我没办法只得去慢慢检查,但也查不出个所以然来。我想实际的电路可能与仿真的电路会产生差错,毕竟仿真的是在虚拟的界面完成的。 所以在接下来的几天我都在慢慢调试和修改中度过,想想那几天过的真的好累,在一次次的失败中修正却还是得不到正确的结果。好几次都想放弃,但最后还是坚持下来。经过多次调试,最后还是得到正确的结果,那一刻,我感觉如释重负,感觉很有成就感。一个星期的电子实习已经过去,但是使我对电子设计有了更的了解,使我学了很多,具体如下:1. 基本掌握手工电烙铁的焊接技能够独立的完成简单电子产品的安装与焊接。熟悉电子产品装工艺的生产流程,了解电子产品的焊接、调试与维修方法;2. 熟悉了有关电子设计与仿真软件的使用,能够熟练使用普通万用表;3.熟悉常用电子器件的类别、型号、规格、性能及其使用范围,能够灵活的运用 4.增强自己解决问题的能力,利用网上和图书馆的资源,搜索查找得到需要的信息; 5.明白了团队合作的重要性,和搭档相互讨论, 学会了怎么更好解决问题。篇二:电子技术实训心得体会 电子技术实训心得体会 开学的第一周,我们迎来了新学期里的第一堂课--电子工艺实训课。对于新学期里的新课程、新知识,我有种迫不及待的感觉。 在这一学期里,我们首先接触的是对电子元件的初步认识,还有电路的结构和布局。而这一实训课里最重要的东西便是日常生活里所见到的电焊。在课堂上,老师指导了我们对电焊的使用,由于在焊接过程中,加热的电焊是比较具有危险性的,如果使用不当会对自己或别人造成伤害。所以我们必须严格按照相关规定及正确的使用方法去使用电焊,避免烙伤事故的发生。 当我们初步掌握了电子元件的焊接方法技巧之后,便可以开始尝试焊接一些电路板元件了。其中电子元件的布局是很重要的。因为它关联到电路连接的方便简洁。 短短的一周过去了,在这一周里,如果没有老师的指导,我们的实训将会有很大的败笔,实训课无法得以完成,其次,在这一次实训中,使我明白,与同伴的合作交流是很重要的。团队精神要劳记在心里。与同性分享成功的喜悦难道不是一种很美好的事么? 实训课已渐入尾声,通过这一次,我们又收获到了很多珍贵的知识,而这与老师的辛勤是离不开的。在此,我和全体同学对老师说一声谢谢!老师您辛苦了!篇三:电子电路实训报告

完整版模拟电子电路实验报告

. 实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R 和R组成的分压电路,并在发射极中接有电阻R,以稳定放大器的静态工EB1B2作点。当在放大器的输入端加入输入信号u后,在放大器的输出端便可得到一i个与u相位相反,幅值被放大了的输出信号u,从而实现了电压放大。0i 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R和R 的电流远大于晶体管T 的 B2B1基极电流I时(一般5~10倍),则它的静态工作点可用下式估算B教育资料.. R B1U?U CCB R?R B2B1 U?U BEB I??I EC R E

)R+R=UU-I(ECCCCEC电压放大倍数 RR // LCβA??V r be输入电阻 r R/// R=R/beiB1 B2 输出电阻 R R≈CO由于电子器件性能的分散性比较大,因此在设计和制作晶 体管放大电路时, 为电路设计提供必离不开测量和调试技术。在设计前应测量所用元器件的参数,还必须测量和调试放大器的静态工作点和各要的依据,在完成设计和装配以后,因此,一个优质放大器,必定是理论设计与实验调整相结合的产物。项性能指标。除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。消除干扰放大器静态工作点的测量与调试,放大器的测量和调试一般包括:与自激振荡及放大器各项动态参数的测量与调试等。、放大器静态工作点的测量 与调试 1 静态工作点的测量1) 即将放大的情况下进行,=u 测量放大器的静态工作点,应在输入信号0 i教育资料. . 器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流I以及各电极对地的电位U、U和U。一般实验中,为了避 ECCB免断开集电极,所以采用测量电压U或U,然后算出I的方法,例如,只要 测CEC出U,即可用E UU?U CECC??II?I,由U确定I(也可根据I),算出CCC CEC RR CE同时也能算出U=U-U,U=U-U。EBEECBCE为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 2) 静态工作点的调试 放大器静态工作点的调试是指对管子集电极电流I(或U)的调整与测试。 CEC静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u的负半周将被削底,O 如图2-2(a)所示;如工作点偏低则易产生截止失真,即u的正半周被缩顶(一 O般截止失真不如饱和失真明显),如图2-2(b)所示。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端 加入一定的输入电压u,检查输出电压u的大小和波形是否满足要求。如不满Oi

电工电子综合实验1--裂相电路仿真实验报告格 2

电子电工综合实验论文 专题:裂相(分相)电路 院系:自动化学院 专业:电气工程及其自动化 姓名:小格子 学号: 指导老师:徐行健

裂相(分相)电路 摘要: 本实验通过仿真软件Mulitinism7,研究如何将一个单相的交流分裂成多相交流电源的问题。用如下理论依据:电容、电感元件两端的电压和电流相位差是90度,将这种元件和与之串联的电阻当作电源,这样就可以把单相交流源分裂成两相交流电源、三相电源。同时本实验还研究了裂相后的电源接不同的负载时电压、功率的变化。得到如下结论: 1.裂相后的电源接相等负载时两端的电压和负载值成正相关关系; 2.接适当的负载,裂相后的电路负载消耗的功率将远大于电源消耗的功率; 3.负载为感性时,两实验得到的曲线差别较小,反之,则较大。 关键词:分相两相三相负载功率阻性容性感性 引言 根据电路理论可知,电容元件和电感元件最容易改变交流电的相位,又因它们不消耗能量,可用作裂相电路的裂相元件。所谓裂相,就是将适当的电容、电感与三相对称负载相配接,使三相负载从单相电源获得三相对称电压。而生活和工作中一般没有三相动力电源,只有单相电源,如何利用单相电源为三相负载供电,就成了值得深入研究的问题了。 正文 1.实验材料与设置装备 本实验是理想状态下的实验,所有数据都通过在电路专用软件Multisim 7中模拟实验测得的;所有实验器材为(均为理想器材) 实验原理: (1). 将单相电源分裂成两相电源的电路结构设计 把电源U1分裂成U1和U2输出电压,如下图所示为RC桥式分相电压原理,可以把输入电压分成两个有效值相等,相位相差90度的两个电压源。 上图中输出电压U1和U2与US之比为

青岛农业大学电子设计自动化与专用集成电路课程设计报告汇总

青岛农业大学 理学与信息科学学院 电子设计自动化及专用集成电路 课程设计报告 设计题目一、设计一个二人抢答器二、密码锁 学生专业班级 学生姓名(学号) 指导教师 完成时间 实习(设计)地点信息楼121 年 11 月 1 日

一、课程设计目的和任务 课程设计目的:本次课程设计是在学生学习完数字电路、模拟电路、电子设计自动化的相关课程之后进行的。通过对数字集成电路或模拟集成电路的模拟与仿真等,熟练使用相关软件设计具有较强功能的电路,提高实际动手,为将来设计大规模集成电路打下基础。 课程设计任务: 一、设计一个二人抢答器。要求: (1)两人抢答,先抢有效,用发光二极管显示是否抢到答题权。 (2)每人两位计分显示,打错不加分,答对可加10、20、30分。 (3)每题结束后,裁判按复位,重新抢答。 (4)累积加分,裁判可随时清除。 二、密码锁 设计四位十进制密码锁,输入密码正确,绿灯亮,开锁;不正确,红灯亮,不能开锁。密码可由用户自行设置。 二、分析与设计 1、设计任务分析 (1)二人抢答器用Verilog硬件描述语言设计抢答器,实现: 1、二人通过按键抢答,最先按下按键的人抢答成功,此后其他人抢答无效。 2、每次只有一人可获得抢答资格,一次抢答完后主持人通过复位按键复位,选手再从新抢答。 3、有从新开始游戏按键,游戏从新开始时每位选手初始分为零分,答对可选择加10分、20分,30分,最高九十分。 4、选手抢答成功时其对应的分数显示。 (2)密码锁 1、第一个数字控制键用来进行密码的输入 2、第二个按键控制数字位数的移动及调用密码判断程序。当确认后如果显示数据与预置密码相同,则LED 亮;如不相等,则无反应。按下复位键,计数等均复位

[电子电路实训总结]电子电路课程实训心得体会

[电子电路实训总结]电子电路课程实训心得体会【--实习工作总结】 电子电路课程是学习什么的呢?电力电子电路属于强非线性电路系统,下面是带来的电子电路课程实训,希望对大家有帮助。 电子工艺实习是一门技术性很强的技术基础课,也是我们理工科进行工程训练,学习工艺知识,提高综合素质的重要实践环节。从第2周到第5周每周周二下午四个小时来进行这次实习。 实习任务是制作一台万用表,刚开始时我并不清楚电子工艺实习到底要做些什么,以为像以前的金工实习那样这做做那做做。后来得知是自己做一个万用表,而且做好的作品可以带回去。听起来真的很有趣,做起来应该也挺好玩的吧!就这样,我抱着极大的兴趣和玩的心态开始这次的实习旅途。 实习第一天也就是第二周,通过看录像中电子工艺实习的范围与技术,还有录像中老师高-潮的技艺让我艳羡不已,这个下午,我对电子工艺实习有了初步的认识,对电路板,电路元件有了一定的认识,对我接下类的三周的实际操作给予了一定的指导。

第3周也并不是学制作,而是做一些基本工的练习,练习如何用电烙铁去焊接电阻,导线。电烙铁对我来说很陌生,所以我很认真地对待这练习的机会。 我再说说焊接的过程。先将准备好的元件插入印刷电路板规定好的位置上,待电烙铁加热后用烙铁头的刃口上些适量的焊锡,上的焊锡多少要根据焊点的大小来决定。 焊接时,要将烙铁头的刃口接触焊点与元件引线,根据焊点的形状作一定的移动,使流动的焊锡布满焊点并渗入被焊物的缝隙,接触时间大约在3-5秒左右,然后拿开电烙铁。拿开电烙铁的时间,方向和速度,决定了焊接的质量与外观的正确的方法是,在将要离开焊点时,快速的将电烙铁往回带一下,后迅速离开焊点,这样焊出的焊点既光亮,圆滑,又不出毛刺。 在焊接时,焊接时间不要太长,免得把元件烫坏,但亦不要太短,造成假焊或虚焊。焊接结束后,用镊子夹住被焊元件适当用力拔一下,检查元件是否被焊牢。如果发现有松动现象,就要重新进行焊接。 焊接看起来很简单但其中有很多技巧要讲究的,比如说用偏口钳掐导线的力度、焊锡丝的量和在焊的过程中时间都要把握准才行,

电子电路综合实验报告

电子电路实验3 综合设计总结报告题目:波形发生器 班级:20110513 学号:2011051316 姓名:仲云龙 成绩: 日期:2014.3.31-2014.4.4

一、摘要 波形发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。波形发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波、三角波、方波等,因而广泛用于通信、雷达、导航等领域。 二、设计任务 2.1 设计选题 选题七波形发生器 2.2 设计任务要求 (1)同时四通道输出,每通道输出矩形波、锯齿波、正弦波Ⅰ、正弦波Ⅱ中的一种波形,每通道输出的负载电阻均为1K欧姆。 (2)四种波形的频率关系为1:1:1:3(三次谐波),矩形波、锯齿波、正弦波Ⅰ输出频率范围为8 kHz—10kHz,正弦波Ⅱ输出频率范围为24 kHz—30kHz;矩形波和锯齿波输出电压幅度峰峰值为1V,正弦波Ⅰ、Ⅱ输出幅度为峰峰值2V。(3)频率误差不大于5%,矩形波,锯齿波,正弦波Ⅰ通带内输出电压幅度峰峰值误差不大于5%,正弦波Ⅱ通带内输出电压幅度峰峰值误差不大于10%,矩形波占空比在0~1范围内可调。 (4)电源只能选用+9V单电源,由稳压电源供给,不得使用额外电源。

三、方案论证 1.利用555多谐振荡器6管脚产生8kHz三角波,3管脚Vpp为1V的8kHz的方波。 2.三角波通过滞回比较器和衰减网络产生8kHzVpp为1V的方波。 3.方波通过反向积分电路产生8kHzVpp为1V的三角波。 4.方波通过二阶低通滤波器产生8kHz低通正弦波。 5.方波通过带通滤波器产生中心频率为27kHz的正弦波。 系统方框图见图1 图1 系统方框图 此方案可以满足本选题技术指标,分五个模块实现产生所需的波形,而且电路模块清晰,容易调试,电路结构简单容易实现。

电子电路实验三-实验报告

电子电路实验三-实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验三负反馈放大电路 实验报告 一、实验数据处理 1.实验电路图 根据实际的实验电路,利用Multisim得到电路图如下: (1)两级放大电路 (2)两级放大电路(闭环)

(3)电流并联负反馈放大电路 2.数据处理 (1)两级放大电路的调试 第一级电路:调整电阻参数,使得静态工作点满足:IDQ约为2mA,UGDQ<-4V。记录并计算电路参数及静态工作点的相关数据(IDQ,UGSQ,UA,US、UGDQ)。 IDQ UGSQ UA US UGDQ 2.014mA-1.28V 5.77V7.05V-6.06V 第二级电路:通过调节Rb2,使得静态工作点满足:ICQ约为2mA,UCEQ=2~3V。记录电路参数及静态工作点的相关数据(ICQ,UCEQ)。 ICQ UCEQ 2.003mA 2.958V 输入正弦信号Us,幅度为10mV,频率为10kHz,测量并记录电路的电压放大倍数 A u1=U o1 U s 、A u= U o U s 及输入电阻Ri和输出电阻Ro。 Au1Au Ri Ro 0.783-152.790.75kΩ 3227.2Ω (2)两级放大电路闭环测试 在上述两级放大电路中,引入电压并联负反馈。合理选取电阻R的阻值,使得闭环电压放大

倍数的数值约为10。 输入正弦信号Us,幅度为100mV,频率为10kHz,测量并记录闭环电压放大倍数 A usf=U o/U s 输入电阻Rif和输出电阻Rof。 Ausf Rif Rof -9.94638.2Ω232.9Ω(3)电流并联负反馈放大电路 输入正弦信号Us,幅度为100mV,频率为10kHz,测量并记录闭环电压放大倍数 A usf=U o/U s 输入电阻Rif和输出电阻Rof。 Ausf Rif Rof 8.26335.0Ω3280.0Ω 3.误差分析 利用相对误差公式: 相对误差=仿真值?实测值 实测值 ×100% 得各组数据的相对误差如下表: 仿真值实测值相对误差 /% IDQ/mA 2.077 2.014 3.13 UA/V 5.994 5.770 3.88 UGDQ/V-5.994-6.060-1.09 ICQ/mA 2.018 2.0030.75 UCEQ/V 2.908 2.958-1.69 Au10.7960.783 1.66 Au-154.2-152.70.98 Ri/ kΩ90.7690.750.01

电子科技大学模电课程设计报告——火灾报警电路

电子科技大学模电课程设计报告——火灾报警电路

————————————————————————————————作者:————————————————————————————————日期: 2

电子科技大学 《模拟电路基础》应用设计报告 设计题目:火灾报警电路 学生姓名:学号: 教师姓名:日期: 一、设计任务 通过两个温度传感器获得的电压差实现火灾自动报警。 正常情况下,电压差为零,发光二极管不亮,蜂鸣器不响。 当有火情时,电压差增大,发光二极管发光,蜂鸣器鸣叫。 二、电路原理 根据设计要求,把设计的电路分成以下三个模块(图1): 图1 电路方框图 电路详细构成如下: 放大微 弱电压信 号 判断是否 需要报警 报警指 示

1.二极管温度传感器 仿真时,可用电压源ui1、ui2模拟温度引起的电压变化,但可用二极管作为实际的温度传感器。常温下,硅二极管正向导通时的导通电压约为0.7V。 流过二极管的正向电流固定时,温度每上升1度,正向电压下降大约2mV。 图2 二极管温度传感器 2.差分电压放大电路 发生火灾时,温度传感器的电压差可以迅速上升至几十到几百mV,根据后级的比较电压确定放大倍数,通过差分放大器将电压放大到大于比较 电压。 因此选择图三这样的电路可将微弱的电信号放大10倍左右。 图3 差分电压放大电路 ) ( 1 2I I f O u u R R u- ? =

3.单限电压比较器 差分电路输出的电压从U2的正向输入端输入,与单限电压比较器的阈值电 压UT 进行比较。 图4 单限电压比较器 CC T oH o T o oL o T o V R R R U U u U u U u U u ?+= =>=<4 34 2121;;时,时,

电子电路课程设计密码锁(满分实验报告)解析

密码锁设计报告 摘要: 本系统是由键盘和报警系统所组成的密码锁。系统完成键盘输入、开锁、超时报警、输入位数显示、错误密码报警、复位等数字密码锁的基本功能。 关键字:数字密码锁 GAL16V8 28C64 解锁与报警 1

目录: 一、系统结构与技术指标 1、系统功能要求 (4) 2、性能和电气指标 (5) 3、设计条件 (5) 二、整体方案设计 1、密码设定 (6) 2、密码判断 (6) 3、密码录入和判断结果显示 (6) 4、系统工作原理框面 (7) 三、单元电路设计 1、键盘录入和编码电路图 (8) 2、地址计数和存储电路 (12) 3、密码锁存与比较电路 (12) 2

4、判决与结果显示电路 (14) 5、延时电路 (15) 6、复位 (17) 7、整机电路图 (19) 8、元件清单 (19) 四、程序清单 1、第一片GAL (21) 2、第二片GAL (23) 五、测试与调整 1、单元电路测试 (25) 2、整体指标测试 (26) 3、测试结果 (26) 六、设计总结 1、设计任务完成情况 (27) 2、问题及改进 (27) 3、心得体会 (28) 3

一、系统结构与技术指标 1.系统功能要求 密码锁:用数字键方式输入开锁密码,输入密码时开锁;如果输入密码有误或者输入时间过长,则发出警报。 密码锁的系统结构框图如下图所示,其中数字键盘用于输 入密码,密码锁用于判断密码的正误,也可用于修改密码。开锁LED1亮表示输入密码正确并开锁,报警LED2亮表示密码有误或 者输入时间超时。 开锁green 键盘密码锁 错误red 4

2.性能和电气指标 2.1 开锁密码为8位十进制数字,由按键输入,按“确认”键后,输入的数字有效。 2.2 输入的8位数字与预设的密码相同时开锁,用绿灯亮,红灯灭表示。数据有误时或输入的密码时间过长即报警,红灯亮。 2.3 输入的数字间隔时间小于或等于15s。超过时限则报警,同时电子锁复位。 2.4 具有手动、自动复位功能。 3. 设计条件 3.1 电源条件:稳压电源提供+5V电压。 3.2 可供选择的元器件如表1-1所示 型号名称及功能 74374 锁存器 28C64 EEPROM 存贮器 7485 4位比较器

电子电路综合实验报告

电子电路综合实验报 课题名称:简易晶体管图示仪 专业:通信工程 班级: 学号: 姓名: 班内序号:

一、课题名称: 简易晶体管图示仪 二、摘要和关键词: 本报告主要介绍简易晶体管的设计实现方法,以及实验中会出现的问题及解决方法。给出了其中给出了各个分块电路的电路图和设计说明,功能说明,还有总电路的框图,电路图,给出实验中示波器上的波形和其他一些重要的数据。在最后提到了在实际操作过程中遇到的困难和解决方法,还有本次实验的结论与总结。 方波、锯齿波、阶梯波、特征曲线。 三、设计任务要求: 1. 基本要求:⑴设计一个阶梯波发生器,f≥500Hz,Uopp≥3V,阶数N=6; ⑵设计一个三角波发生器,三角波Vopp≥2V; ⑶设计保护电路,实现对三极管输出特性的测试。 2. 提高要求:⑴可以识别NPN,PNP管,并正确测试不同性质三极管; ⑵设计阶数可调的阶梯波发生器。 四、设计思路: 本试验要求用示波器稳定显示晶体管输入输出特性曲线。我的设计思路是先用NE555时基振荡器产生的方波和带直流的锯齿波。然后将产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿的个数,实验中利用它的三位输出为多路开关CD4051提供地址。CD4051是一个数据选择器,根据16进制计数器74LS169给出的地址进行选择性的输出,来输出阶梯波,接入基极。由双运放LF353对NE555产生的锯齿波进行处理,产生符合要求的锯齿波作为集电极输入到三极管集电极。最后扫描得到NPN的输出特性曲线。总体结构框图:

五、分块电路和总体电路的设计: ⑴用NE555产生方波及锯齿波,电路连接如下。 图2.方波产生电路 NE555的3口产生方波,2口产生锯齿波,方波振荡器周期T=3 R1+R2 C1,占空比D= R1+R2 /(R1+2R2),为使阶梯波频率足够大,选C1=0.01uF,同时要产生锯齿波,方波的占空比应尽量大,当R1远大于R2时,占空比接近1,选R1为20kΩ,R2为100Ω。 ⑵阶梯波电路: 用NE555时基振荡器产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿得个数,实验中利用它的三位输出为多路开关CD4051的输入Qa、Qb、Qc提供地址。直流通路是由5个100Ω的电阻组成的电阻分压网络以产生6个不同的电压值,根据16进制计数器74LS169给出的地址进行选择性的输出,而它的管脚按照一定的顺序接入5个等值电阻然后在第一个电阻接入5V 的电压,原本是管脚接7个电阻可以产生8阶阶梯波,将三个管脚短接,即可产生6阶,这里选择了4,2,5接地,使输出为6阶阶梯波,以满足基本要求中的阶梯波幅度大于3V的要求。另一路信号通道的输入则接被显示的信号;通过地址信号Qa、Qb、Qc对两回路信号同步进行选通。这样,用示波器观察便可得到有6阶的阶梯波。 仿真时在Multisim上没有现成元件CD4051,这里选择了与它功能相近的8通道模拟多路复用器ADG528F代替。它是根据A1、A2、A3口的输入来选择输出S1-S8中各路电压值。

北京邮电大学电路实验报告-(小彩灯)

北京邮电大学电路实验报告-(小彩灯)

电子电路综合实验报告课题名称:基于运算放大器的彩灯显示电路的设计与实现 姓名:班级:学号: 一、摘要: 运用运算放大器设计一个彩灯显示电路,通过迟滞电压比较器和反向积分器构成方波—三角波发生器,三角波送入比较器与一系列直流电平比较,比较器输出端会分别输出高电平和低电平,从而顺序点亮或熄灭接在比较器输出端的发光管。 关键字: 模拟电路,高低电平,运算放大器,振荡,比较 二、设计任务要求: 利用运算放大器LM324设计一个彩灯显示电路,让排成一排的5个红色发光二极管(R1~R5)重复地依次点亮再依次熄灭(全灭→R1→R1R2→R1R2R3→R1R2R3R4→R1R2R3R4R5→R1R2R3R4→R1R2R3→R1R2→R1→全灭),同时让排成一排的6个绿色发光二极管(G1~G6)单光

三角波振荡电路可以采用如图2-28所示电路,这是一种常见的由集成运算放大器构成的方波和三角波发生器电路,图2-28中运放A1接成迟滞电压比较器,A2接成反相输入式积分器,积分器的输入电压取自迟滞电压比较器的输出,迟滞电压比较器的输入信号来自积分器的输出。假设迟滞电压比较器输出U o1初始值为高电平,该高电平经过积分器在U o2端得到线性下降的输出信号,此线性下降的信号又反馈至迟滞电压比较器的输入端,当其下降至比较器的下门限电压U th-时,比较器的输出发生跳变,由高电平跳变为低电平,该低电平经过积分器在U o2端得到线性上升的输出信号,此线性上升的信号又反馈至迟

滞电压比较器的输入端,当其上升至比较器的上门限电压U th+时,比较器的输出发生跳变,由低电平跳变为高电平,此后,不断重复上述过程,从而在迟滞电压比较器的输出端U o1得到方波信号,在反向积分器的输出端U o2得到三角波信号。假设稳压管反向击穿时的稳定电压为U Z,正向导通电压为U D,由理论分析可知,该电路方波和三角波的输出幅度分别为: 式(5)中R P2为电位器R P动头2端对地电阻,R P1为电位器1端对地的电阻。 由上述各式可知,该电路输出方波的幅度由稳压管的稳压值和正向导通电压决定,三角波的输 出幅度决定于稳压管的稳压值和正向导通电压以及反馈比R1/R f,而振荡频率与稳压管的稳压值和正向导通电压无关,因此,通过调换具有不同稳压值和正向 导通电压的稳压管可以成比例地改变方波和三角波的幅度而不改变振荡频率。 电位器的滑动比R P2/R P1和积分器的积分时间常数R2C的改变只影响振荡频率而 不影响振荡幅度,而反馈比R1/R f的改变会使振荡频率和振荡幅度同时发生变化。因此,一般用改变积分时间常数的方法进行频段的转换,用调节电位器滑动头 的位置来进行频段内的频率调节。

2020年电子技术基础实训报告

电子技术基础实训报告 导语:电子技术基础实训结束了,电子技术基础实训报告怎么写?以下是为大家的文章,欢迎阅读!希望对大家有所帮助! 一、实训目的: 1、培养动手能力,在实践中加强对理论知识的理解。 2、掌握对电子元器件识别,相应工具的操作,相关仪器的使用,电子设备制作、装调的全过程的方法。 3、掌握查找及排除电子电路故障的常用方法。 4、学习使用protel电路设计软件,动手绘制电路图。 二、实训设备及仪器: 1、电烙铁:焊接的元件多,所以使用的是外热式电烙铁,功率为30w,烙铁头是铜制。 2、螺丝刀、镊子等必备工具以及练习焊接时用的铜丝。 3、锡丝:由于锡熔点低,焊接时,焊锡能迅速散步在金属表面焊接牢固,焊点光亮美观。 4、松香,导线,剥线钳等其它需要用到的工具。 5、相关实验项目所需的电路板,电子元件等。 三、实训要求: 1、识别不同的电子元器件的规格和种类,熟练掌握焊接技术。 2、按照电路图设计合理安排元器件的位置,连接好电路,对接口进行焊接,完成对指定功能的测试。未达到测试要求的重新调试,直至排除故障。 四、实训内容:

1、项目: (1)模拟声响器 (2)汽车尾灯控制器(3)数字时钟(4)组装收音机 2、实验电路: (1)模拟声响器电路: (2)汽车尾灯控制器电路: (3)数字时钟电路: (4)收音机电路: 五、实训结果: 所有项目均完成,电路成品经过测试检修。其中,项目一达到 测试目标,项目二三四部分达到测试目标。 六、实训心得: 1、对电气技能训练的理论有了初步的系统了解。进一步学习了电子技术以及电子安装工艺和测量调试技术。我了解到了焊普通元件与电路元件的技巧、收音机的工作原理与组成元件的作用等。 2、实训项目对自己的动手能力是个很大的锻炼。在实习中,我锻炼了自己动手技巧,提高了自己解决问题的能力。虽然在实习中会遇到难题,但是从中我学到了很多,使自己的动手能力也有所提高,也认识到了理论学习跟实践的差别。 3、今后我想在以后的理论学习中我就能够明白自己的学习方向,增进专业知识的强化。同时联系实际,促进理论成果的产业化过程

电子线路课程设计报告

石英晶体好坏检测电路设计 设计要求 1. 利用高频电子线路及其先修课程模拟电路的知识设计一个电子线路2.利用该电子线路的要求是要求能够检测石英晶体的好坏 3. 要求设计的该电子线路能够进行仿真 4. 从仿真的结果能够直接判断出该石英晶体的好坏 5. 能够理解该电子线路检测的原理 6. 能够了解该电子线路的应用 成果简介设计的该电子线路能够检测不同频率石英晶体的好坏。当有该石英晶体(又称晶振)的时候,在输出端接上一个示波器能够有正弦波形输出,而当没有 该晶振的时候,输出的是直流,波形是一条直线。所以利用该电路可以在使 用晶振之前对其进行检测。 报告正文 (1)引言: 在高频电子线路中,石英晶体谐振器(也称石英振子)是一个重要的高频部件,它广泛应用于频率稳定性高的振荡器中,也用作高性能的窄带滤波 器和鉴频器。其中石英晶体振荡器就是利用石英晶体谐振器作滤波元件构成 的振荡器,其振荡频率由石英晶体谐振器决定。与LC谐振回路相比,石英晶 体谐振器有很高的标准性,采用品质因数,因此石英晶体振荡器具有较高的 频率稳定度,采用高精度和稳频措施后,石英晶体振荡器可以达到很高的频 率稳定度。正是因为石英晶体谐振器的这一广泛的应用和重要性,所以在选 择石英晶体谐振器的时候,应该选择质量好的。在选择的时候要对该晶振检 测才能够知道它的好坏,所以要设计一个检测石英晶体好坏的电路。 (2)设计内容: 设计该电路的原理如下:

如下图所示,BX为待测石英晶体(又名晶振),插入插座X1、X2,按下按钮SB,如果BX是好的,则由三极管VT1、电容器C1、C2等构成的振荡器工作,振荡信号从VT1发射极输出,经C3耦合到VD2进行检波、C4滤波,变成直流信号电压,送至VT2基极,使VT2导通,发光二极管H发光,指示被测石英晶体是好的。若H不亮,则表明石英晶体是坏的。适当改变C1、C2的容值,即可用于测试不同频率的石英晶体。 图一石英晶体好坏检测电路检测原理图 在上面的电路中,晶振等效于电感的功能,与C1和C2构成电容三点式振荡电路,振荡频率主要由C1、C2和C3以及晶振构成的回路决定。即由晶振电 抗X e 与外部电容相等的条件决定,设外部电容为C L ,则=0,其中C l 是C1、 C2和C3的串联值。 (3)电路调试过程: 首先是电路的仿真过程,该电路的仿真是在EWB软件下进行的,下面是将原图画到该软件后的截图:

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

电子电路实验二 实验报告

实验二单管放大电路 实验报告 一、实验数据处理 1.工作点的调整 调节RW,分别使I =1.0mA,2.0mA,测量VCEQ的值。 CQ 2.工作点对放大电路的动态特性的影响 分别在ICQ=1.0mA,2.0mA情况下,测量放大电路的动态特性(输入信号vi是幅度为5mV,频率为1kHz的正弦电压),包括测量电压增益,输入电阻,输出电阻和幅频特性。 幅频特性:ICQ=1.0mA

得到幅频特性曲线如下图: ICQ=2.0mA 频率f/Hz 28 80 90 200 400 680 电压增益 18.60 47.10 51.69 88.63 116.44 128.31 |Av| 频率 0.4 0.6 0.8 1.2 2.0 2.5 f/MHz 电压增益 138.33 132.58 126.12 111.39 86.87 74.43 |Av| fL 245Hz fH 1.6MHz 得到的幅频特性曲线如下图: (注:电压增益均取绝对值,方便画图) 3.负反馈电阻对动态特性的影响 改接CE与RE2并联,测量此时放大电路在ICQ=1.0mA下的动态特性(输入信号及测试内容同上),与上面测试结果相比较,总结负反馈电阻对电路动态特性的影响。 电压增益Av 输入电阻Ri 输出电阻Ro -6.46 10792Ω3349Ω 幅频特性: 频率f/Hz 10 27 80 230 400 680 电压增益 3.83 5.61 6.25 6.41 6.42 6.43 |Av| 频率 0.1 0.5 0.7 1.0 2.0 2.8 f/MHz 电压增益 5.61 5.56 5.50 5.39 4.83 4.36

电子技术课程设计报告三端集成稳压电路

河南机电高等专科学校电子技术课程设计报告设计课题:三端集成稳压电路

三端集成稳压电路 一、设计任务与要求 1. 掌握二极管的单向导电性及用途; 2.了解三端集成稳压器LM7805和LM317的用途及区别; 3.对桥式整流滤波电路进行了解; 4.对变压器知识进行回顾; 5.培养实践技能,提高分析和解决实际问题的能力; 6.要求安全用电,正确使用元件 二、方案设计与论证 可调直流稳压电源一般由电源变压器,整流滤波电路及稳压电路所组成。变压把家用照明电交流电压220V变为所需要的低压交流电。桥式整流器把交流电变为直流电。经滤波后,稳压器再把不稳定的直流电压变为稳定的直流电压输出。本设计主要采用直流稳压构成集成稳压电路,通过变压,整流,滤波,稳压过程将220V交流电,变为稳定的直流电,并实现电压可在1.25V-37V可调。 方案一、使用型号LM317三端稳压集成器。接入220V家用照明电源,通过降压变压器,使电压降到适合的值,然后使用IN4001型号二极管,电容等设计整流滤波电路,然后通过使用型号LM317三端稳压集成器,输出一个稳定直流电。 方案二、使用型号LM7805三端稳压集成器。接入220V家用照明电源,通过降压变压器,使电压降到适合的值,然后使用IN4007型号二极管,电容等设计整流滤波电路,然后通过使用型号LM7805三端稳压集成器,输出一个稳定直流电。 论证:由于设计要求通过变压,整流,滤波,稳压过程将220V交流电,变为稳定的直流电,并实现电压可在1.25V-37V可调。对于型号LM7805三端稳压集成器来说,输入电压为9V--20V,输出电压为固定值5,输出最大电流为1.5A;而型号LM317三端稳压集成器输入电压的要求范围比较大,输出电压为可调的,电压的范围1.25V-37V,输出电流的最大值与上面的相同,对于此设计来说LM317的选择性比较高,比较容易操作。 通过论证,最终确定选用方案一。

电子电路设计实验报告

电子电路设计实验报告 电子线路专题实验Ⅱ 一、实验要求: 1. 认真阅读学习系统线路及相关资料 2. 将键盘阵列定义为0. 1. 2------ E. F,编程实现将键盘输入内容显示在LCD显示器上。 3. 编程实现将日历、时钟显示在LED显示屏上(注意仔细阅读PCF8563资料),日历、时钟轮回显示。 4. 利用D/A转换通道(下行通道)实现锯齿波发生器;输出(1~5V)固定电压转换成(4~20mA)电流。 5. 利用A/D转换通道(上行通道)实现数据采集,将采集信号显示在LED屏上。程序要求分别具有平均值滤波、中值滤波和滑动滤波功能。 6. 将按键阵列定义成与16个语音段对应,编写程序,实现按键播放不同的语音段。 二、实验设计思路: 本次实验用c语言实现,主要包括LCD,LED,AD,DA,日历芯片,测温传感芯片。受到嵌入式系统实验的启发,将LCD,LED,I2C总线协议,键盘扫描模块接口写成一个文件库(放在library文件夹下),尽量做到调用时与底层硬件无关。通过调用库文件中的函数,实现代码的重用性。键盘,LCD的代码由于与嵌入式实验具有相通之处,因此可将高层的函数(与底层硬件无关的函数)方便地移植过来。 三、实验设计: 1.矩阵键盘扫描模块 4×4的矩阵键盘,通过扫描可得到按下键的行列值,将行列值转换为相应的对应数字0~F。函数GetKey()实现获得按键的键值。对于键盘模块对于对按键的键值识别主要是通过两次扫描而取得。对于第一次扫描,给四行键全部赋予1,然后读回键盘值,对于第二次扫描,逐行为键盘送1,每次送1后再读回键盘值,若非零,说明此行有键按下,最终确定键值。 通过调用GetKey函数构造GetChar()函数,实现获取键盘字符(’0’~’F’)的功能。

电子电路CAD实训报告格式

电子电路C A D实训报 告格式 Standardization of sany group #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

广东工业大学 实训报告 课程电子电路CAD实训 院、系(部)自动化学院 专业及班级电子信息科学与技术2班 学号 姓名刘浩 指导教师徐迎晖 日期 一实训目的 1. 学会使用Altium Designer软件绘制一般复杂度的电路原理图和PCB图; 2. 了解焊接机理,学会手工焊接直插式和部分类型的贴片式元件; 3. 了解手工制板的方法,掌握感光电路板法制作双面板的工艺流程; 4. 焊接、装配和调测电子产品——数字万用表。 二实验要求 1. 学会使用Altium Designer软件绘制单页和简单的多页原理图(含自定义原理图元件),绘制双面板PCB图(含自定义封装);

2. 了解焊接机理,掌握焊接工具材料的正确使用方法,学会手工焊接和拆焊直插式元件,学会手工焊接和拆焊贴片式元件(含1210、1206、0805、SOT-23、SO等封装); 3. 了解业余和实验室条件下手工制作PCB的方法。理解感光电路板法制作PCB的原理,掌握其制作双面板的工艺流程,包括PCB布线图打印、曝光、显影、蚀刻、脱膜、钻孔等环节的细节; 4. 以数字万用表为例,体会电子产品样机的焊接、装配和调测的工作细节,学会解决其中出现的问题。 三实验设备 1. 电路原理图和PCB图的绘制:安装了Altium Designer软件的PC机; 2. 手工焊接:电烙铁、焊锡丝、助焊剂、清洁海绵、镊子; 3. 感光电路板法制作PCB:PC机、打印机、双面紫外线曝光机、PCB气泡蚀刻机(2台)、PCB裁板机、台式电钻; 4. 电子产品制作:DT9205A数字万用表散件套件。 四电路原理图和PCB图的绘制 1. 实验原理 电路原理图,是阐述电路工作原理的图纸。在绘制时主要考虑的是易于阅读理 解出电路各部分的工作原理。原理图中的元件并不需按实物外形绘制,线条一 般认为是理想的 (实物电路中的连线则在多数时候不能被认为是理想的)。

相关文档
相关文档 最新文档