文档库 最新最全的文档下载
当前位置:文档库 › Quartus II 的bdf文件生成bsf 、v 、 vhdl文件

Quartus II 的bdf文件生成bsf 、v 、 vhdl文件

Quartus II 的bdf文件生成bsf 、v 、 vhdl文件
Quartus II 的bdf文件生成bsf 、v 、 vhdl文件

Quartus II 的bdf文件生成bsf 、v 、 vhdl文件

作者:shisifeiya 日期:2010-8-19

在Quartus II中v文件和vhd文件可以在Project Navigator的相应文件,点击右键直接生成bsf文件供图形输入调用。

但对于bdf文件右键的弹出菜单就没有相应的选项了。

不过对bdf文件转换的功能还是有的,而且比上边右键菜单提供的功能还强大。可以在File->Create / Update->Creat Symbol Files for Current File找到。

而且你会发现还有一个强大的功能就是Create HDL Design File for Current Fil e,可以把bdf文件直接转成v/vhdl文件。

系统分类: CPLD/FPGA | 用户分类: FPGA/CPLD | 来源: 原创 | 【推荐给朋友】 | 【添加到收藏夹】

1

Quartus_II使用教程-完整实例

Quartus Ⅱ入门教程 (一个Verilog 程序得编译与功能仿真) Quartus Ⅱ 就是Altera 公司推出得专业EDA 工具,支持原理图输入、硬件描述语言得输入等多种输入方式。硬件描述语言得输入方式就是利用类似高级程序得设计方法来设计出数字系统。接下来我们对这种智能得EDA 工具进行初步得学习。使大家以后得数字系统设计更加容易上手。 第一步:打开软件 快捷工具栏:提供设置(setting),编译(pile)等快捷方式,方便用户使用,用户也可以在菜单栏得下拉菜单找到相应得选项。● 菜单栏:软件所有功能得控制选项都可以在其下拉菜单中找到。 ● 信息栏:编译或者综合整个过程得详细信息显示窗口,包括编译通过信息与报错信息。 第二步:新建工程( Project Wizard ) 1 工程名称: 快捷工具栏 菜单栏 所建工程得保存路径 工作区 资源管理窗口 任务管理窗口

dqgxo 。 2添加已有文件(没有已有文件得直接跳过next ) 3 选择芯片型号(我们选择MAX3000A 系列下得EPM3256AQC208-10芯片) (注:如果不下载到开发板上进行测试,这一步可以不用设置) 工程名称 顶层模块名(芯片级设计为实体名),要求与工程名称相同 如果有已经存在得文 件就在该过程中添加, 软件将直接将用户所添加得文件添加到工程中。

4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None,然后next) 5 工程建立完成(点finish ) 所选得芯片得系列型号 快速搜索所需得芯片 选择芯片 选择第三方综合工具,如果使用Quartus 内部综合工具则选择none 选择第三方仿真工具,如果使用Quartus 内部仿真工具则选择none 选择时序分析仪

Quartus_II使用方法

第1章QUARTUS II 2.1 QUARTUSII概述 设计输入是设计者对系统要实现的逻辑功能进行描述的过程。设计输入有多种表达方式,常用的用原理图输入、硬件描述语言输入、网表输入等。 1、原理图输入: 原理图设计输入方式是利用软件提供的各种原理图库,采用画图的方式进行设计输入。这是一种最为简单和直观的输入方式。原理图输入方式的效率比较低,半只用于小规模系统设计,或用于在顶层拼接各个以设计完成的电路子模块。 2、硬件描述语言输入: 这种设计输入方式是通过文本编辑器,用VHDL,Verilog或AHDL等硬件描述语言进行设计输入。采用语言描述的有点事效率较高,结果容易仿真,信号观察方便,在不同的设计输入库之间转换方便,适用于大规模数字系统的设计。但语言输入必须依赖综合器,只有好的综合器才能把语言综合成优化的电路。 3、网表输入: 现代可编程数字系统设计工具都提供了和它第三方EDA工具相连接的接口。采用这种方法输入时,可以通过标准的网表把它设计工具上已经实现了的设计直接移植进来,而不必重新输入。一般开发软件可以接受的网表有EDIF格式、VHDL 格式及Verilog格式等。在用网表输入时,必须注意在两个系统中采用库的对应关系,所有的库单元必须一一对应,才可以成功读入网表[6]。 2.2 QUARTUSII建立工程项目 在Quartus II 中,用户的每个独立设计都对应一个工程项目,每个工程项目可包含一个或多个设计文件。其中一个是顶层文件,编译器是对项目中的顶层文件进行编译的,项目同时还管理编译过程中产生的各种中间文件,这些中间文件的文件名相同,但后缀名不同。为了便于管理,对于每个新的项目应该建立一个单独的子目录。指定项目名称的步骤如下: 1. 打开Quartus II,在File 菜单中选择New Project Wizard 项,将出现工程项目建立向导对话框。如图2-1 (a)所示。 2. 点击“Next”,进入到如图2-1 (b)所示的工程项目命名对话框,在最上面的

QuartusII教程(完整版)

Quartus II 的使用 (1) 1 工程建立 (1) 2 原理图的输入 (4) 3 文本编辑(verilog) (14) 4 波形仿真 (17)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图 1.11)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;

FPGA入门及Quartus II使用教程(内部资料)

FPGA入门及Quartus II使用教程FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)等可编程器件的基础上上进一步发展的产物。 可以这样讲,ASIC(Application Specific Integrated Circuit )内部的所有资源,是用积木堆积起来的小房子,可以是一个欧美风情的房子,还可以是一个北京四合院…….而FPGA内部就可以说是一个个小积木,也就是内部有大量的资源提供给我们,根据我们的需求进行内部的设计。并且可以通过软件仿真,我们可以事先验证设计的正确性。 第一章FPGA的基本开发流程 下面我们基于Altera 公司的QuantusII 软件来说明FPGA 的开发流程。 下图是一个典型的基于Quartus II的FPGA开发整体流程框图。

1、建立工程师每个开发过程的开始,Quartus II以工程为单位对设计过程进行管 理。 2、建立顶层图。可以这样理解,顶层图是一个容器,将整个工程的各个模块包 容在里边,编译的时候就将这些模块整合在一起。也可以理解为它是一个大元件,比如一个单片机,内部包含各个模块,编译的时候就是生成一个这样的大元件。 3、采用ALTERA公司提供的LPM功能模块。Quartus软件环境包含了大量的常 用功能模块,比如计数器、累加器、比较器等等。 4、自己建立模块。由于有些设计中现有的模块功能不能满足具体设计的要求, 那就只能自己设计。使用硬件描述语言,当然也可以用原理图的输入方法,可以独立的把它们当成一个工程来设计,并且生成一个模块符号(Symbol),类似于那些LPM功能模块。这里可以理解为,如果我们需求的滤波器,没有现成的合适的,那我们可以通过LC自己来搭建一个滤波器。 5、将顶层图的各个功能模块连线起来。这个过程类似电路图设计,把各个芯片 连起来,组成电路系统。 6、系统的功能原理图至此已经基本出炉了,下一步就是选择芯片字载体,分配 引脚,设置编译选项等等。 7、编译。这个过程类似软件开发里德编译,但是实际上这个过程比软件的编译 复杂的多,因为它最终要实现硬件里边的物理结构,包含了优化逻辑的组合,综合逻辑以及布线等步骤。 8、编译后会生成2个文件,一个是*.sof文件,一个是*.pof文件,前者可以通过 JTAG方式下载到FPGA内部,可以进行调试,但断电后数据丢失;后者通过AS或者PS方式下载到FPGA的配置芯片里边(EEPROM或者FLASH),重新上电后FPGA会通过配置将数据读出。 9、对于复杂的设计,工程编译好了,我们可以通过Quartus软件或者其他仿真 软件来对设计进行反复仿真和验证,直到满足要求。(主要是时序仿真)。 第二章基于Quartus II的实例 一、建立工程 首先,打开Quartus II软件。

Quartus II教程

第3章 Altera Quartus II软件开发向导 基于Altera Quartus II软件的设计方法有模块编辑法、文本编辑法、宏模块编辑法和包含前三种方法的混合编辑法。宏模块设计法放在第6章讲述,本章通过实例简单介绍使用Quartus II软件的模块原理图编辑法、文本编辑法和包含前两种方法的混合编辑法。 3.1模块编辑及设计流程 Quartus II软件的模块编辑器以原理图的形式和图标模块的形式来编辑输入文件。每个模块文件包含设计中代表逻辑的框图和符号。模块编辑器可以将框图、原理图或符号集中起来,用信号线、总线或管道连接起来形成设计,并在此基础上生成模块符号文件(.bdf)、AHDL Include文件(.inc)和HDL 文件。 3.1.1 原理图输入文件的建立 在这里我们设计非常简单一个二输入的或门电路。它只包含一个或门、两个输入引脚和一个输出引脚。首先创建一个原理图形式的输入文件。步骤如下: (1)打开模块编辑器 单击【File】|【New】,弹出新建文件对话框,如图3.1所示。 图3.1 新建文件对话框 选择文件类型【Block Diagram/Schematic File】,打开模块编辑器,如图3.2所示。使用该编辑器可以编辑图标模块,也可以编辑原理图。

图3.2 模块编辑器 Quartus II提供了大量的常用的基本单元和宏功能模块,在模块编辑器中可以直接调用它们。在模块编辑器要插入元件的地方单击鼠标左键,会出现小黑点,称为插入点。然后鼠标左键,弹出【Symbol】对话框,如图3.3 所示。或者在工具栏中单击图标,也可打开该对话框。 在Symbol对话框左边的元件库【Libraries】中包含了Quartus II提供的元件。它们存放在\altera\quartus60\libraries\的子目录下,分为primitives、others、megafunctions三个大类。 基本逻辑函数(primitives) 基本逻辑函数存放在\altera\quartus60\libraries\ primitives\的子目录下,分别为缓冲逻辑单元(buffer)、基本逻辑单元(logic)、其它单元(other)、引脚单元(pin)和存储单元(storage)五个子类。Buffer子类中包含的是缓冲逻辑器件,如alt_in buffer、alt_out_buffer、wire等;logic子类中包含的是基本逻辑器件,如and、or、xor等门电路器件;other子类中包含的是常量单元,如constant、vcc和gnd等;pin子类中包含的是输入、输出和双向引脚单元;storage子类中包含的是各类触发器,如dff、tff等。

Quartus使用简介

第五章QuartusII使用指南 20 世纪末, 电子技术获得了飞速的发展, 在其推动下, 现代电子产品几乎渗透到社会的各个领域, 有力地推动了社会生产力的发展和社会信息化程度的提高, 同时也使现代电子产品性能进一步提高, 产品更新换代的节奏也越来越快。现代电子设计技术的核心是EDA ( Electronic Design Automation) 技术。 EDA 技术就是依赖功能强大的计算机, 在EDA 工具软件平台上, 对以硬件描述语言HDL (Hardware Description Language) 为系统逻辑描述手段完成的设计文件。自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线) , 以及逻辑优化和仿真测试, 直至实现既定的电子线路系统功能, EDA 技术使得设计者的工作仅限于利用软件的方式, 即利用硬件描述语言和EDA 软件来完成对系统硬件功能的实现。在电子设计技术领域, 可编程逻辑器件(PLD) 的应用为数字系统的设计带来了极大的灵活性, 由于该类器件可以通过软件编程而对其硬件的结构和工作方式进行重构, 因而使得硬件的设计可以像软件设计那样方便快捷。这极大地改变了传统的数字系统设计方法、设计过程乃至设计概念。 纵观可编程逻辑器件的发展史, 它在结构原理、集成规模、下载方式、逻辑设计手段等方面的每一次进步都为现代电子设计技术的发展提供了不可缺少的强大动力。随着PLD 集成规模不断扩大、自身功能的不断完善和计算机辅助设计技术的提高, 现代电子系统设计领域中的EDA 便应运而生了。 传统的数字电路设计模式, 如利用卡诺图的逻辑化简手段以及难懂的布尔方程表达式和相应的TTL 或4000 系列到小集成规模芯片的堆砌技术正在退出历史舞台。EDA 是20 世纪90 年代初从CAD (计算机辅助设计) 、CAM (计算机辅助制造) 、CAT (计算机辅助测试) 和CAE (计算机辅助工程) 的概念发展而来的。它是以计算机为工具在EDA 软件平台上, 根据硬件描述语言VHDL 完成的设计文件, 自动地完成逻辑编译化简、分割、综合及优化、布局、布线、仿真直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述, 在EDA工具帮助下, 应用相应的FPGA和CPLD 器件, 就可以得到最后的设计结果。 Altera公司的QuartusII提供了完整的多平台设计环境,能满足各种特定设计的需要,是单芯片可编程系统(SOPC)设计的综合性环境和SOPC开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成综合环境。QuartusII设计环境完全支持VHDL、Verilog的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。QuartusII也具备仿真功能,此外,与MATLAB和DSP Builder结合,可以进行基于FPGA的DSP系统开发,是DSP 硬件系统实现的关键EDA工具。 本章将通过几个简单的例子,详细介绍QuartusII的使用方法,包括创建工程、设计输入、综合与适配、仿真测试、优化设计和编程下载等方法。 5.1 创建工程 在QuartusII中,任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹。此文件夹将被EDA软件默认为工作库(Work Library)。一般,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件最好都放在同一文件夹中。这里以设计一个全加器为例,假设本项设计的文件夹取名为FA,在F 盘中,路径为D:\FA。 在此要利用New Project Wizard工具选项创建此设计工程,并设定此工程的一些相关

Quartus_II_9.0_使用初级教程

Quartus Ⅱ 9.0 使用教程(初级) Quartus Ⅱ 是Altera 公司推出的专业EDA 工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。接下来我们对这种智能的EDA 工具进行初步的学习。使大家以后的数字系统设计更加容易上手。 第一步:打开软件 ● 快捷工具栏:提供设置(setting ),编译( compile )等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 ● 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 ● 编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当 显示100%是表示编译或者综合通过。 ● 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

第二步:新建工程(file>new Project Wizard ) 1 工程名称: 2添加已有文件(没有已有文件的直接跳过next )

3 选择芯片型号(我们选择MAX3000A 系列下的EPM3256AQC208-10芯片) 4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None ,然后next )

5 工程建立完成(点finish) 第三步:添加文件(file>new> VHDL file),新建完成之后要先保存。

第四步:编写程序 3-8译码器的VHDL描述源文件如下: library ieee; use ieee.std_logic_1164.all; entity decoder3_8 is port( A:in std_logic_vector(2 downto 0); EN:in std_logic; Y:out std_logic_vector(7 downto 0)); end decoder3_8; architecture example_1 of decoder3_8 is signal sel:std_logic_vector(3 downto 0); begin sel<=A & EN; with sel select Y <= "11111110" when "0001", "11111101" when "0011", "11111011" when "0101", "11110111" when "0111", "11101111" when "1001", "11011111" when "1011",

Quartus-II软件的使用方法

Q u a r t u s I I软件的使用方法 1、创建工程 运行quartus II软件,如下图: 建立工程,File New Project Wizad,既弹出“工程设置”对话框,如下图: 单击此对话框最上一栏右侧的“...”按钮,在d盘中建一个工程文件夹,取名为test。单击“打开”按钮,在第二行和第三行中填写为“half_adder”。按Next按钮,出现添加工程文件的对话框,如下图: 这里我们先不管它,直接按next进行下一步,选择FPGA器件的型号,如下图: 在Family下拉框中,我们选择Cyclone V系列FPGA,选择此系列的具体芯片5CSEMA5F31C6。 执行next出现选择其它EDA工具setting对话框如下图,选择ModelSim_Altera为默认的Simulation 工具,语言为Verilog HDL. 执行next出现选择其它EDA工具对话框,我们用Quartus II的集成环境进行开发,因此这里不作任何改动,按next进入工程的信息总概对话框,按Finish按钮即建立一个项目。 2、建立顶层文件。 (1)执行File New,弹出新建文件对话框,如下图: 选择“Verilog HDL File”按OK即建立一个空的verilog 文件,按下图写入half_adder代码,我们把它另存为 (File Save as),接受默认的文件名,以使该文件添加到工程中去。如下图: (2)设置。在建立工程时我们选定了芯片型号,也可以在这一步设定,在菜单Assignments Device,弹出对话框,如下图: (3)编译。按主工具栏上的编译按钮即开始编译,Message窗口会显示一些编译信息,最后编译成功弹出提示,如下图: 3、仿真 对工程编译通过后,必须对其功能和时序性质进行仿真测试,以了解设计结果是否满足原设计要求。具体步骤如下: 1功能仿真 选择菜单processing -->start --> start test bench template writer testbench 文件创建完成打开testbench文件 编写testbench文件见红色方框,编辑完后,保存。 注释掉 选择菜单Tools中的Run Simulation Tool,再选择RTL Simulation,如下图所示:

Quartus-II使用教程-完整实例2

Quartus □入门教程 (一个Verilog 程序的编译和功能仿真) Quartus n 是Altera 公司推出的专业 EDA 工具,支持原理图输入、硬件描述语言的输 入等多种输入 方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字 系统。接下来我们对这种智能的 EDA 工具进行初步的学习。使大家以后的数字系统设计更 加容易上手。 \ £JJ 訓闿./ FYQcessho Ejdra Ihlo Inlo Wartimj —Citic-al Wairphj —入 E IKM A 5申卩旧貝6?:1 A Flaci / [Mswage: 快捷工具栏:提供设置(setting ),编译(compile )等快捷方式,方便用户使用,用户也可 以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。 For Help, press, Fl Idb 恤 UM 菜单栏 第一步:打开软件 快捷工具栏 Ale Proje

QuartusII操作过程图解

基于QuartusII9.0的数字电路设计操作过程图解 一.Quartus II 9.0 启动 ◆方法一、直接双击桌面上的图标,可以打开Quartus II 9.0软件; ◆方法二、执行:【开始】→【程序】→【Altera】→【Quartus II 9.0】→【Quartus II 9.0 TalkBack Install】菜单命令,可以打开软件。 ◆启动软件后,若你的电脑没有连接到Internet互联网,会出现如下图所示的提示,提示你没有连接到A ltera的官方网站,将无法获得更新的资源。点击〖确定〗继续,因为这不影响软件的正常使用。 ◆若你的电脑已经正常连接到Internet互联网,则在打开软件时就不会出现以上的提示,并且可 以通过软件界面右下方的两个图标:,直接连接到Altera公司的官方网站,以便获取更多的信息和资源。 二.QuartusII 9.0软件界面 Quartus II 9.0软件的默认启动界面如下图所示,由标题栏、菜单栏、常用工具栏、资源管理窗口、程序编译或仿真运行状态的显示窗口、程序编译或仿真的结果显示窗口和工程编辑工作区组成。

三.QuartusII 9.0软件使用 1.新建项目工程 使用QuartusII9.0设计一个数字逻辑电路,并用时序波形图对电路的功能进行仿真,同时还可以将设计正确的电路下载到可编程的逻辑器件(CPLD、FPGA)中。因软件在完成整个设计、编译、仿真和下载等这些工作过程中,会有很多相关的文件产生,为了便于管理这些设计文件,我们在设计电路之前,先要建立一个项目工程(New Project),并设置好这个工程能正常工作的相关条件和环境。 建立工程的方法和步骤如下: (1)先建一个文件夹。就在电脑本地硬盘找个地方 建一个用于保存下一步工作中要产生的工程项目的文件夹, 注意:文件夹的命名及其保存的路径中不能有中文字符。 (2)再开始建立新项目工程,方法如右图点击:【File】 菜单,选择下拉列表中的【New Project Wizard...】命令, 打开建立新项目工程的向导对话框。如下图,出现第① 个对话框,让你选择项目工程保存位置、定义项目工程

Quartus简明操作指南修

Quartus II简明操作指南 在前面的实验里,我们所有的实验都是基于这样一个观点,即将一个数字系统划分成合适利用已有的中小规模数字集成电路的功能的模块,然后将这些集成电路通过外部引线连接起来。现在开始我们将研究用可编程逻辑器件(PLD/FPGA)来进行数字系统设计。 可编程逻辑器件是一种大规模的集成电路,其内部预置了大量易于实现各种逻辑函数的结构,同时还有一些用来保持信息或控制连接的特殊结构,这些保持的信息或连接确定了器件实现的实际逻辑功能,当改变这些信息或连接时器件的功能也将随之改变。可编程逻辑器件的设计过程和传统的中小规模数字电路设计也不一样,可编程数字系统,无论是CPLD 还是FPGA器件都需要利用软件工具来进行设计。可编程数字系统设计总体上一般可以分为设计输入、项目处理、设计校验和器件编程这四个主要过程。下面我们将一个简单的模60BCD计数器为例,说明可编程数字系统设计的基本流程、概念和方法,掌握Quartus II 软件的基本功能和操作,了解原理图输入方式的设计全过程。 一、设计项目输入 设计输入是设计者对系统要实现的逻辑功能进行描述的过程。设计输入有多种表达方式,本次我们主要学习图形输入法。 1.1 建立工程项目 1.打开Quartus II,在File菜单中选择New Project Wizard项,将出现工程项目建立向导对 话框。 2.点击“Next”,进入到相应的对话框,在最上面的文本输入框中输入项目所在的目录名(注 意:不能用中文名,下同),在中间的文本输入框中输入项目名称,在最下面的文本输入框中输入最顶层模块的名称。 3.点击“Next”,进入到设计文件选择对话框,由于在本例中还没有任何设计文件,所以不 选择任何文件。 4.点击“Next”,进入到器件选择对话框,在“Family”下拉菜单中选择“Cyclone”,在“Available Devices”列表栏中选择“EP1C6Q240C8”。 5.点击“Next”进入到第三方EDA工具选择对话框,在这个界面我们可以选择第三方的综 合工具、仿真工具和时延分析工具。由于在本例中我们的综合、仿真和时延分析都采用Quartus II内置的工具,所以在这个页面不作任何选择。 6.点击“Next”进入到“Summary”对话框,在这个窗口列出了前面所作设置的全部信息。 点击“Finish”完成工程项目建立过程,回到主窗口。 1.2 建立原理图输入文件 在Quartus II中我们可以利用Block Editor以原理图的形式进行设计输入和编辑。Block Editor 可以读取并编辑后缀名为”.bdf”的原理图设计文件以及在MAX+PLUS II 中建立的后缀为”.gdf”的原理图输入文件。 1.在File菜单中选择New项,将出现新建文件对话框。选择“Device Design File/Block

(笔记)QuartusII9.1完全操作教程

(笔记)Quartus II 与DE2 入门指导(Digital Logic) (DE2) 作者:yf.x来源:博客园发布时间:2010-03-04 21:18 阅读:1218 次原文链接[收藏] Version 1.0 By yf.x 03/03/2010 Abstract 通过一个简单的实例介绍Quartus II 9.1和DE2基本使用方法。 Introduction 典型的计算机辅助设计流程 开始 新建一个项目(project) Verilog设计输入 编译设计 管脚分配 仿真设计电路 规划、配置FPGA器件 测试设计的电路 一个典型的FPGA计算机辅助设计流程如图1所示。

图1 FPGA CAD设计流程 设计流程的步骤: ?设计输入(Design Entry)-- 用原理图或者硬件描述语言说明设计的电路。 ?综合(Synthesis)-- 将输入的设计综合成由FPGA芯片的逻辑元件(logic elements)组成的电路。 ?功能仿真(Functional Simulation)-- 测试、验证综合的电路功能正确与否,不考虑延时。

?适配(Fitting)-- 将工程的逻辑和时序要求与器件的可用资源相匹配。它将每个逻辑功能分配给最佳逻辑单元位置,进行布线和时序分析,并选定相应的互连路径和引脚分配。 ?时序分析(Timing Analysis)-- 通过对适配电路的传播延迟的分析,提供电路的性能指标。 ?时序仿真(Timing Simulation)-- 验证电路的功能和时序的正确性。 ?编程和下载配置(Programming and Configuration)-- 在FPGA上实现设计的电路。 本文主要介绍Quartus II 的基本特性。演示如何用Verilog HDL在Quartus II平台设计和实现电路。包括: ?创建一个项目(project) ?用Verilog代码设计输入 ?综合 ?适配 ?分配管脚 ?仿真 ?编程与下载 1 创建一个项目 (1)启动Quartus II ,选择File > New Project Wizard,弹出窗口(图2)

quartus2使用指导

Q u a r t u s I I使用指南 在这个实验中我们通过一个简单的实例来演示如何使用Quartus II。在PLD器件上做一个完整的逻辑设计。我们将在PLD上实现一个三人表决器的逻辑。三人表决,以少数服从多数为原则,多数人同意则议案通过,否则议案被否决。这里,我们使用三个按键代表三个参与表决的人,置“0”表示该人同意议案,置“1”表示该人同意议案;两个指示灯用来表示表决结果,LED1 点亮表示议案通过,LED2 点亮表示议案被否决。真值表如下: S1 S2 S3 LED1 LED2 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 0 下面我们就具体来实现这一设计。

1、双击桌面上Quartus II的图标,启动Quartus II软件。 2、通过File => New Project Wizard…菜单命令启动新项目向导。

3、在随后弹出的对话框上点击Next按钮,继续。 4、在What is the working directory for this project栏目中设定新项目所使用的路径;在What is the name of this project栏目中输入新项目的名字: vote,点击Next按钮。

5、在这一步,向导要求向新项目中加入已存在的设计文件。因为我们的设计文件还没有建立,所以点击Next按钮,跳过这一步。 6、在这一步选择器件的型号。Family栏目设置为Cyclone,选中Speci

fic device selected in ‘Available devices’ list选项,在Avail able device窗口中选中所使用的器件的具体型号,这里以EP1C6Q240C8为例。点击Next按钮,继续。 7、在这一步,可以为新项目指定综合工具、仿真工具、时间分析工具。在这个实验中,我使用Quartus II6.0的默认设置,直接点击Next按钮,继续。

相关文档
相关文档 最新文档