文档库 最新最全的文档下载
当前位置:文档库 › 4位数字密码锁的设计

4位数字密码锁的设计

4位数字密码锁的设计
4位数字密码锁的设计

1技术指标

用与非门设计一个4位或多位代码的数字锁,要求如下:

A:设计一个保险箱用的多位代码数字锁,比如4位代码ABCD四个输入端和一个开锁用的钥匙插孔输入端E,当开箱时(E=1),如果输入代码(例如ABCD=1010)与设定的代码相同,则保险箱被打开,即输出端Z=1,否则电路发出报警信号:

B: 进行电路仿真,并说明其工作原理。

2方案比较

方案一:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、

3Proteus软件介绍

Proteus软件是来自英国Labcenter electronics公司的EDA工具软件。

Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是Proteus Lite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。此系统受益于15年来的持续开发,被《电子世界》在其对PCB设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。Proteus产品系列也包含了我们革命性的VSM技术,用户可以对基于微控制器的设计连同所有的周围电子器件一起仿真。用户甚至可以实时采用诸如LED/LCD、键盘、RS232终端等动态外设模型来对设计进行交互仿真。

其功能模块:—个易用而又功能强大的ISIS原理布图工具;PROSPICE混合模型SPICE 仿真;ARES PCB设计。PROSPICE仿真器的一个扩展PROTEUS VSM:便于包括所有相关的器件的基于微处理器设计的协同仿真。此外,还可以结合微控制器软件使用动态的键盘,开关,按钮,LEDs甚至LCD显示CPU模型。

Proteus支持许多通用的微控制器,如PIC,A VR,HC11以及8051。

交互的装置模型包括:LED和LCD显示,RS232终端,通用键盘。

Proteus有强大的调试工具;包括寄存器和存储器,断点和单步模式。

IAR C-SPY和Keil uVision2等开发工具的源层调试。

Proteus应用特殊模型的DLL界面-提供有关元件库的全部文件。

Proteus与其他的仿真软件相比较,在下面的优点:

1、能仿真模拟电路、数字电路、数模混合电路;

2、能绘制原理图、PCB图;

3、几乎包括实际中所有使用的仪器;

4、其最大的亮点在于能够对单片机进行实物级的仿真。从程序的编写,编译到调试,目标版的仿一应俱全。支持汇编语言和C语言的编程。还可配合Keil C实现程序的联合调试,将Proteus中绘制的原理图作为实际中的目标板,而用Keil C集成环境实现对目标板的控制,与实际中通过硬件仿真器对目标板的调试几乎完全相同,并且支持多显示器的调试,即Proteus运行在一台计算机上,而Keil C运行在另一台计算机上,通过网络连接实现远程的调试。

4组合逻辑电路

组合逻辑电路是用各种门电路组成的,用于实现某种组合逻辑功能的复杂逻辑电路。组合逻辑电路在逻辑功能上的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关。因此,组合逻辑电路在电路结构上的特点是:输出与输入之间一般没有反馈贿赂;电路中没有记忆单元;当输入信号的状态组合改变时,输出状态也随着改变。

组合逻辑电路的功能以逻辑图、逻辑表达式、真值表等形式进行描述。逻辑图是描述实现逻辑功能的电路结构,但对功能的描述不够直观;逻辑表达式和真值表能够直观、明显的描述逻辑功能,所以进行逻辑电路分析和逻辑问题的实际电路设计时,通常使用列出真值表分析逻辑电路的逻辑功能,或者列出真值表分析实际逻辑问题的逻辑关系,并从真值表得出逻辑命题的函数表达式,设计实现逻辑命题的逻辑电路。

4.1组合逻辑电路的分析方法

组合逻辑电路的分析,就是找出给定逻辑电路输出和输入之间的逻辑关系,从而了解给定逻辑电路的逻辑功能。组合逻辑电路的分析方法通常采用代数法,分析过程一般按下列步骤进行:

(1)根据给定组合逻辑电路的逻辑图,以每个门电路的输入端输入信号为自变量,写出各种逻辑门输出端的逻辑表达式,对于中间变量最好在写出表达式的同时化简,以免积累到最后。

(2)化简总输出端的逻辑表达式。

(3)列出真值表。

(4)从逻辑函数表达式或真值表,分析出给定组合逻辑电路的逻辑功能。

4.2组合逻辑电路的设计

对于第一个逻辑表达工式或逻辑电路,其真值表可以是惟一的,但其对应的逻辑电路或逻辑表达式可能有多种实现形式,所以,一个特定的逻辑问题,其对应的真值表是惟一的,但实现它的逻辑电路是多种多样的。在实际设计工作中,如果由于某些原因无法获得某些门电路,可以通过变换逻辑表达式变电路,从而能使用其他器件来代替该器件。同

时,为了使逻辑电路的设计更简洁,通过各方法对逻辑表达式进行化简是必要的。组合电路可用一组逻辑表达式来描述。设计组合电路直就是实现逻辑表达式。要求在满足逻辑功能和技术要求基础上,力求使电路简单、经济、可靠、实现组合逻辑函数的途径是多种多样的,可采用基本门电路,也可采用中、大规模集成电路。

组合逻辑电路的设计一般可按以下步骤进行:

(1)逻辑抽象。将文字描述的逻辑命题转换成真值表叫逻辑抽象。首先要分析逻辑命题,确定输入、输出变量;然后用二值逻辑的0、1两种状态分别对输入、输出变量进行逻辑赋值,即确定0、1的具体含义;最后根据输出与输入之间的逻辑关系列出真值表。

(2)选择器件类型。根据命题的要求和器件的功能及其资源情况决定采用哪种器件。

(3)根据真值表和选用逻辑器件的类型,写出相应的逻辑函数表达式。

(4)根据逻辑函数表达式及选用的逻辑器件画出逻辑电路图。

实际设计时,还要进行生产工艺设计,包括进行生产工艺设计,包括器件安装机箱、电源、控制开关、输入开关、相关显示电路、外观布置、生产工艺等的设计。

5 TTL与非门电路

全称Transistor-Transistor Logic,即BJT-BJT逻辑门电路,是数字电子技术中常用的一种逻辑门电路,应用较早,技术已比较成熟。T TL主要有BJT(Bipolar JunctionTransistor 即双极结型晶体管,晶体三极管)和电阻构成,具有速度快的特点。最早的TTL门电路是74系列,后来出现了74H系列,74L系列,74LS,74AS,74ALS等系列。

TTL电平信号被利用的最多是因为通常数据表示采用二进制规定,+5V等价于逻辑“1”,0V等价于逻辑“0”,这被称做TTL(晶体管-晶体管逻辑电平)信号系统,这是计算机处理器控制的设备内部各部分之间通信的标准技术。

TTL电平信号对于计算机处理器控制的设备内部的数据传输是很理想的,首先计算机处理器控制的设备内部的数据传输对于电源的要求不高以及热损耗也较低,另外TTL电平信号直接与集成电路连接而不需要价格昂贵的线路驱动器以及接收器电路;再者,计算机处理器控制的设备内部的数据传输是在高速下进行的,而TTL接口的操作恰能满足这个要求。TTL型通信大多数情况下,是采用并行数据传输方式,而并行数据传输对于超过10英尺的距离就不适合了。这是由于可靠性和成本两面的原因。因为在并行接口中存在着偏相和不对称的问题,这些问题对可靠性均有影响。

基本TTL反相器不难改变成为多输入端的与非门。它的主要特点是在电路的输入端采用了多发射极的BJT。

下图是采用多发射极BJT用作2输入端TTL与非门的输入器件的一个实例。

当任一输入端为低电平时,T1的发射结将正向偏置而导通,T2将截止。结果将导致输出为高电平。只有当全部输入端为高电平时,T1将转入倒置放大状态,T2和T3均饱和,输出为低电平。

图5.1 TTL二输入与非门

当任一输入端为低电平时,T1的发射结将正向偏置而导通,T2将截止。结果将导致输出为高电平。只有当全部输入端为高电平时,T1将转入倒置放大状态,T2和T3均饱和,输出为低电平。

74LS00是一个4个二输入与非门芯片,其引脚图如下:

图5.2 74LS00的引脚图

其功能表如表5.1

表5.1 74LS00的真值表

74LS20是一个四输入与非门,其引脚图如图5.3:

图5.3 74LS20的引脚图其真值表如表5.2:

表5.2 74LS20的真值表

74LS86四输入异或门引脚图:

6电路原理图

7仿真

7.1各个步骤

(1)双击打开Proteus软件,点击文件-新建设计,选择A4

再点击确定,进入设计界面。

(2)添加元件到元件列表中,本例要用的元件有与非门、或门、发光二极管等。单击“P”按钮,弹出对话框:

输入74LS20:

单击OK键就可放置元件,如下图:

同理,可放置其它元件。

(3)放置电源和地

左键选择模型选择工具栏中的按钮,出现如下图:

选择GROUND,并在原理图编辑窗口中左键单击一下,这样就放置了“地”。

POWER就是电源,添加方式和“地”是一样的。

双击电源,打开对话框,对电源的参数进行修改。在编号栏中填+5,表示所加的电源为+5V。如下图所示:

(4)按照原理图连线,得到仿真图:

7.2仿真结果

点击如图的第一个按键

单击开始仿真,得到的结果如下图

由此图可看出,当输入密码为1010时,输出为1,报警灯不亮。得到的结果与设计要求一模一样。

再把输入密码改成1100,得到的仿真结果如下:

由此图可看出,当输入的密码与设置的密码不相同时,输出为0,发光二极管亮,与设计要求也相同。说明设计的电路是正确的。

8心得体会

以上为我们所设计的电子密码锁电路,它经过多次修改和整理,以是一个比较不错的设计,可以满足人们的基本要求,但因为水平有限,此电路中也存在一定的问题,譬如说电路的密码不能遗忘,一旦遗忘,就很难打开,这可以通过增加电路解决,但过于复杂,本次设计未其中;电路密码只有16种可供修改,但由于他人不知道密码的位数,而且还要求在规定的时间内按一定的顺序开锁,所以他人开锁的几率很小;电路中未加显示电路,但可通过其它数字模块实现这一功能。这需要一段时间的进一步改进,如果有好的意见,希望老师给以支持。

通过这几天的学习,我感觉有很大的收获:首先,通过学习使自己对课本上的知识可以应用于实际,使的理论与实际相结合,加深自己对课本知识的更好理解,同时实习也段练了我个人的动手能力:能够充分利用图书馆去查阅资料,增加了许多课本以外的知识。能对Proteus等仿真软件操作,能达到学以致用。对我们学生来说,理论与实际同样重要,这是我们以后在工作中说明自己能力的一个重要标准。

通过对各元器件的引脚功能、真值表的分析、并设计其原理图,且利用电子电路计算机进行仿真使得学习研究电子技术变得更加简单、直观,学习效果进一步提高,带动了学习的积极性。

通过学习使自己对课本上的知识能够应用于实际,使得理论与实际相结合,加深了对课本上知识的理解,并能够利用到图书馆查阅资料,增加了许多课本以外的知识,且实习也锻炼了我个人的动手能力,通过书写电子密码锁论文同时也锻炼了我的文字表达能力。

在设计过程中,我感受到了老师对学生的那种悔人不卷的精神,每天的固定时间,老师都来给我们指导,使我们少走弯路,顺利完成实习任务,请允许我向你们致意崇高的敬意,感谢你们,老师!

参考文献

[1]伍时和.数字电子技术基础.北京:清华大学出版社,2009

[2]康华光.电子技术基础(第四版).北京:高等教育出版社,1998

[3]梁宗善.新型集成块应用[M].武汉:华中理工大出版社,2004

[4]张庆双.实用电子电路200例[M].北京:机械工业出版社,2003

[5]梅开乡.数字逻辑电路:电子工业出版社,2004

[6]沈任元,吴勇.数字电子技术基础[M].北京:机械工业出版社,2001

单片机6位密码锁设计(汇编语言源程序配Proteus电路图)

单片机6位密码锁设计(汇编语言源程序配Proteus电路图) 硬件设计 基于AT89C51为核心的单片机控制的电子密码锁设计。本设计能完成开锁,修改密码,密码错误报警,LCD 显示密码等基本的密码锁功能。设计的电路框如图1。 电路的功能单元设计 1.单片机AT89C51组成基本框图

单片机引脚 介绍 P0 AT89C51 CPU 程序存储器 ROM 并行接口 串行接口 数据存储器 RAM 中断系统 定时器/计数器 时钟电路 P3 P1 P2 TxD INT0 RxD INT1 T0 T1 外中断 内中断

2.单片机外围电路设计 (1)单片机复位电路:采用按键手动复位方式中的电平复位方式,复位电平是通过使RST端经电阻与VCC电源接通而实现的。 (2)单片机时钟电路:AT89C51部有时钟电路,需外接石英晶体和微调电容,本设计的晶振频率为11.0592MHz。 3.矩阵键盘的设计 本设计中用到4*4的键盘。键盘扫描方式,键盘的列线与P1口的低4位相接,行线与P1口的高3位相接。 首先使P1.0为低电平“0”,其余三根列线为高电平“1”,读行线状态。如果行线都为高电平状态,则P1.0这列上没键按下,如果读出的行线不全为高电平状态,则为低电平的行线与P1.0相交的键处于闭合状态。如果P1.0上没有键闭合,接着使P1.1为低电平,其余列线为高电平,用同样的方法检查P1.1这列上有没有按键闭合。一直到使P1.3为低电平扫描完成。这一过程称为一次扫描过程。 由于按键是利用机械触电的合、断原理,存在弹性的影响,机械触点在闭合及断开瞬间均有抖动过程,从而使电压信号波动,为了保证CPU对键的稳定闭合仅作一次键输入处理,必须采用消除抖动影响。本设计采用软件办法,在检测到有键按下时,执行一个延时程序后,确认该键是否保持闭合状态电平。若仍保持闭合状态电平,则确认该键处于闭合状态,从而消除抖动影响。 若有按键被按下时,就将该按键译码出来,本设计采用双重循环做计数编号,当某一按键按下时,其按键编号 4.电路总体设计

66 数字电路综合设计

6.6 数字电路综合设计 6.6.1汽车尾灯控制电路 1. 要求:假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟),要求汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。2.电路设计: (1)列出尾灯和汽车运行状态表如表6.1所示 (2)总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯和各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。 由表6-2得总体框图如图6.6-1所示 图6.6-1汽车尾灯控制电路原理框图

(3)单元电路设计 三进制计数器电路可根据表6-2由双J—K触发器74LS76构成。 汽车尾灯控制电路如图6.6-2所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个和门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1” 0 无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LSl38对应的输出端 Y、5Y、6Y依次为0有 4 效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 对于开关控制电路,设74LSl38和显示驱动电路的使能

基于FPGA的四位电子密码锁设计与实现 专业综合实训报告

基于FPGA的四位电子密码锁设计与实现 摘要: 针对于传统密码锁安全性能低及可靠性差等问题,立足于芯片中数据存储的保密性,运用EDA技术自顶向下的设计方法,提出了基于FPGA的四位电子密码锁的设计与实现方法,所设计的四位电子密码锁具有解锁、密码修改、报警提示及液晶显示功能。设计分析与仿真结果表明,基于FPGA所设计的四位电子密码锁保密性更高、灵活性更好,使得数据存储的整体可靠性增强,为提高数据的保密性提供了新的解决方案。 关键词:密码锁;FPGA;保密性;EDA技术; Design and Implementation of 4-Bit Electronic Cipher Lock Based on FPGA WANG Guo-qiang LI Shang-fu WANG Fei XIE Li-li WANG Qin SUN Bai School of Electronic Engineering,Heilongjiang University; Abstract: Traditional lock faces safety problem of low performance and poor reliability. Based on the data stored in the chip of confidentiality,and using EDA technology top-down design approach,we proposed the design and implementation of a 4-bit FPGA-based electronic lock,which provides unlock,passwordchange,alarm and LCD functions.Design analysis and simulation results show that the designed FPGA-based electronic lock provides better confidentiality,flexibility and reliability for data storage.This approach provides a novel solution for improving data confidentiality. Keyword: Coded lock;FPGA;Confidentiality;EDA technology; 0 引言 随着时代的发展,人们生活水平逐步提高,同时安全意识也日益增强,如何实现家庭防盗这一问题就尤其突出。传统的机械锁由于其构造简单,锁芯直接外露,导致被撬的事情比比皆是。因此,随着电子技术工业的发展,数字电子技术已经深入到人们的日常生活中,层出不穷的电子产品也向着高端方向发展,电子密码锁也就应运而生,在生活安全领域,具有防盗、报警功能的电子密码锁完全打破了机械密码锁的密码量少和安全性差的缺点[1]。 电子密码锁的复杂性,需要设计专门的电子电路和技术,许多相关研究机构和组织的科研人员进行了大量研究。目前该领域的研究主要集中在如何使电子密码锁体积缩小、可靠性

四位数字密码锁

数字电路基础实验设计报告班级:521 姓名:李世龙 学号:2010052106 设计题目:四位数字密码锁 指导老师:张光普

四位密码锁 一设计任务:通过组合逻辑电路来实现四位密码锁功能。当输入正确的密码时LED灯亮但蜂鸣器不响,输入错误密码时LED灯不亮但蜂鸣器响。当关闭密码锁开关时无论输入什么样的密码LED灯都亮蜂鸣器都响。密码的输入由开关的闭合与断开控制高低电平进行密码的输入。 二设计原理: 开关J1,J2, J3,J4通过接低电平或者接入高电平进行密码的输入,J5为密码锁的开关当它接入高低电平来控制密码锁是否工作。其他的门电路来实现密码锁转换功能。

真值表 J5 J1 J2 J3 J4 LEDBUZZE R 0 ×××× 1 1 1 0 0 0 0 0 1 1 0 0 0 1 0 1 1 0 0 1 0 0 1 1 0 0 1 1 01 1 0 1 0 0 0 1 1 0 1 0 1 0 1 1 0 1 1 0 0 1 1 0 1 1 1 0 1 1 1 0 0 0 0 1 1 1 0 0 1 0 1 1 1 0 1 0 0 1 1 1 0 1 1 0 1 1 1 1 0 0 10 1 1 1 0 1 0 1 1 1 1 1 0 0 1 1 1 1 1 1 0 1 三测量和调试结果: 当J5接入电平密码锁处于非工作状态无论输入密码是什么LED灯都会亮起,蜂鸣器会一直响。当J5接入高电平时密码锁处于正常工作状态,当输入正确的密码1100时即J1,J2接入高电平J3,J4接入低电平时LED灯会亮但蜂鸣器不会响,当输入其他密码时均为错误密码LED灯不亮蜂鸣器会响。 按照设计原理图接好电路后发现输入正确的密码LED灯会亮,但输入错误的密码和密码锁处于非工作状态时蜂鸣器不响。通过对电路的检查发现蜂鸣器的正负接反了重新改正电路,电路正常工作 设计任务完成 四参考文献

(完整word版)6位电子密码锁设计

电子信息工程《专业基础课程设计》研究报告 电子密码锁设计 学生姓名: 学生学号: 指导教师: 所在学院: 专业班级: 中国·大庆 2015年 6 月

信息技术学院 课程设计任务书 学院专业级,学号姓名 一、课程设计课题: 电子密码锁设计 二、课程设计工作日自年月日至年月日 三、课程设计进行地点:信息技术学院 321 四、程设计任务要求: 1.课题来源: 教师下发。 2.目的意义:设计一个电子密码锁。 3.基本要求: (1)设计6位电子密码锁,当输入正确密码时,输出开锁信号,用相应的发光二极管点亮表示开锁和关锁; (2)密码可以修改; (3)从第一个按钮触动后15秒内若锁未打开,则电路进入自锁状态,并进行声、光报警。 课程设计评审表

目录 1 设计任务要求 (1) 2 方案比较 (1) 3单元电路设计 (2) 4元件选择 (6) 5整体电路 (8) 6说明电路工作原理 (9) 7 困难问题及解决措施 (10) 8 总结与体会 (10) 9 致谢 (11) 10参考文献 (12)

1设计任务要求 (1)设计6位电子密码锁,当输入正确密码时,输出开锁信号,用相应的发光二极管点亮表示开锁和关锁;(2)密码可以修改; (3一个按钮触动后15秒内若锁未打开,则电路进入自锁状态,并进行声、光报警。 1.1 设计概述 通过本次设计掌握数字电路系统设计的方法,熟悉电子密码锁设计相关硬件的使用,了解电子密码锁的系统构成,利用数字门电路实现电子密码锁的设计与实现,可以加深自己对所学专业的认识,关联知识,增强自己的动手能力,积累实践经验,为以后的工作打好基础。通过本次设计掌握数字电路系统设计的方法,熟悉电子密码锁设计相关硬件的使用,了解电子密码锁的系统构成,利用数字门电路实现电子密码锁的设计与实现,可以加深自己对所学专业的认识,关联知识,增强自己的动手能力,积累实践经验,为以后的工作打好基础。 2方案比较 2.1.1 方案选择 本方案是用按键式的输入方式输入密码,然后预设密码由74HC160和BCD数码管显示,而输入密码则由4508和BCD数码管显示,密码比较由异或门器件来实现,定时装置由555定时 图2方案二原理框图

四位电子密码锁.

专业工程设计说明书 题目:4位电子密码锁设计 院(系):电子工程与自动化学院 专业:测控技术与仪器(卓越) 学生姓名:蔡伟航 学号:1200820206 指导教师:黄源 2015年1月16日

锁是置于可启闭的器物上,用以关住某个确定的空间范围或某种器具的,必须以钥匙或暗码打开的扣件。锁具发展到现在已有若干年的历史了,人们对它的结构、机理也研究得很透彻。随着社会科技的进步,锁已发展到了密码锁、磁性锁、电子锁、激光锁、声控锁等等。当今安全信息系统应用越来越广泛,特别在保护机密、维护隐私和财产保护方面起到重大作用,而基于电子密码锁的安全系统是其中的组成部分,因此研究它具有重大的现实意义。 本设计由主控芯片51单片机,单片机时钟电路,矩阵键盘,数码管的动态显示,报警电路和开锁电路组成。单片负责控制整个系统的执行过程。 关键词:AT89S51、时钟电路、矩阵键盘、数码管的动态显示、报警电路,开锁电路。

引言 (1) 1课程设计题目 (1) 2 系统设计 (2) 2.1 总的系统设计结构图 (2) 2.2系统硬件设计 (2) 3 AT89S52最小系统设计 (3) 3.1 时钟电路设计 (3) 3.2 复位电路设计 (4) 4 键盘及显示报警电路的硬件设计 (5) 4.1 矩阵键盘电路设计 (5) 4.2 显示电路硬件设计 (5) 4.3 继电器驱动电路及报警电路设计 (6) 4.3.1继电器简介 (6) 4.3.2 固态继电器驱动电路设计 (7) 4.3.3报警提示电路 (7) 5 系统软件设计 (8) 5.1主程序模块 (9) 5.2密码比较判断模块 (9) 5.3键盘扫描模块 (9) 5.4修改密码模块 (10) 5.5数码管液晶显示模块 (11) 6 总体调试 (11) 7 总结 (12) 参考文献 (13) 附录 (14)

6位数字密码锁控制器

得分:_______ 南京林业大学 研究生设计报告 2011 ~ 2012 学年第一学期 课程名称:微机控制与自动化 报告题目:六位数字密码锁控制器 学号: 作者:陈亭亭 联系电话: 任课教师: 二○一一年十二月

六位数字密码锁控制器 一、设计目的: 随着社会的发展,单片机市场已经形成一个规格齐全、品种繁多的大家族,用户有非常大的选择余地。单片机的应用十分广泛,在工业控制领域、家电产品、智能化仪器仪表、计算机外部设备,特别是机电一体化产品中,都有非常重要的用途。本设计作为微机原理与自动化的课程作业,泥实现以下目的: 1.通过自主编程,以熟悉80c51单片机的控制及编程方法; 2.通过设计与编程,熟悉单片机与外设的连接方法; 3.通过使用protel绘画电路图,熟悉protel软件; 4.熟悉液晶显示器的使用方法。 二、设计实现的功能: 1.通过0~9十个数字键实现六位密码的设置,验证和修改,在密码输入错误时系统 会自动报警,可按复位键重新输入密码。 2.通过LED七段数码管显示密码。 三、设计所需的芯片器材 ATMEL公司的AT89C51单片机,74LS273的8D锁存器,74LS244的8位三态缓冲器,LED显示器、按键若干。 四、总体方案设计 1.总体框图设计 选用AT89C51单片机,加上相应的按键、晶振、复位、显示电路,并进行各种软件的设计。密码锁控制器的总体设计框图如图1所示。 2. 显示控制方案 在构成多位LED显示时,点亮数码管的方式有静态显示和动态显示两种。 静态显示方式:LED的静态显示是指当数码管显示某一字符时,相应段的发光二极管处于恒定地导通或截止状态,直到显示另一字符为止。 静态显示方式各位可独立显示。由于各位分别由一个8位I/O接口控制段选码,故在同一时间里,每一位显示的字符可以各不相同。这种显示方式接口,较小的电流即可获得

电子密码锁的设计与制作

天津大学网络教育学院 专科毕业论文 题目:电子密码锁的设计与制作 完成期限:2016年1月8日至 2016年4月20日 学习中心:嘉兴 专业名称:电气自动化技术 学生姓名:张伟强 学生学号:132092433077 指导教师:刘斌

电子密码锁的设计与制作 第1章方案选择和总体设计 1.1 国内外现状 目前,最常用的锁是20世纪50年代意大利人设计的机械锁,其机构简单、使用方便、价格便宜。但在使用中暴露了很多缺点:一是机械锁是靠金属制成的钥匙上的不同齿形与锁芯的配合来工作的。据统计,每4000把锁中就有两把锁的钥匙齿牙相同或类似,故安全性低。二是钥匙一旦丢失,无论谁捡到都可以将锁打开。三是机械锁的材料大多为黄铜,质地较软,容易损坏。四是机械锁钥匙易于复制,不适于诸如宾馆等公共场所使用。由于人们对锁的安全性,方便性等性能有更高的要求,许多智能锁(如指纹辨别、IC卡识别)也相继问世,但这类产品的特点是针对特定指纹或有效卡,但能适用于保密要求高且仅供个别人使用的箱、柜、房间,其成本一般较高,在一定程度上限制了这类产品的普及和推广。 随着人们生活水平的提高,电子密码防盗锁作为防盗卫士的作用日趋重要。电子密码防盗锁用密码代替钥匙,不但省去了佩戴钥匙的烦恼,也从根本上解决了普通门锁保密性差的缺点。根据国外的统计资料显示,装有电子防盗装置的商业区或居民区盗窃犯罪率平均下降30%左右。目前西方发达国家已经大量地应用这种智能门禁系统,但在我国的应用还不广泛,成本还很高。 1.2设计目标 利用51系列单片机为核心,采用矩阵键盘作为数字输入;6位数字密码显示;可重新设置新密码,EPROM存储密码,掉电不丢失;当输入密码与存储密码一致时,开锁,并响音乐,若连续三次输入错误,则报警灯亮并且蜂鸣器响。

4位数字密码锁的设计

1技术指标 用与非门设计一个4位或多位代码的数字锁,要求如下: A:设计一个保险箱用的多位代码数字锁,比如4位代码ABCD四个输入端和一个开锁用的钥匙插孔输入端E,当开箱时(E=1),如果输入代码(例如ABCD=1010)与设定的代码相同,则保险箱被打开,即输出端Z=1,否则电路发出报警信号: B: 进行电路仿真,并说明其工作原理。

2方案比较 方案一:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、

3Proteus软件介绍 Proteus软件是来自英国Labcenter electronics公司的EDA工具软件。 Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是Proteus Lite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。 Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。此系统受益于15年来的持续开发,被《电子世界》在其对PCB 设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。Proteus产品系列也包含了我们革命性的VSM技术,用户可以对基于微控制器的设计连同所有的周围电子器件一起仿真。用户甚至可以实时采用诸如LED/LCD、键盘、RS232终端等动态外设模型来对设计进行交互仿真。 其功能模块:—个易用而又功能强大的ISIS原理布图工具;PROSPICE混合模型SPICE仿真;ARES PCB设计。PROSPICE仿真器的一个扩展PROTEUS VSM:便于包括所有相关的器件的基于微处理器设计的协同仿真。此外,还可以结合微控制器软件使用动态的键盘,开关,按钮,LEDs甚至LCD显示CPU模型。 Proteus支持许多通用的微控制器,如PIC,A VR,HC11以及8051。 交互的装置模型包括:LED和LCD显示,RS232终端,通用键盘。 Proteus有强大的调试工具;包括寄存器和存储器,断点和单步模式。 IAR C-SPY和Keil uVision2等开发工具的源层调试。 Proteus应用特殊模型的DLL界面-提供有关元件库的全部文件。 Proteus与其他的仿真软件相比较,在下面的优点: 1、能仿真模拟电路、数字电路、数模混合电路; 2、能绘制原理图、PCB图; 3、几乎包括实际中所有使用的仪器;

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

简易 位数字密码锁控制电路设计实验报告

目录 一、前言 (2) 二、课设任务 (2) 三、方案设计、原理分析 (2) 四、译码电路设计 (8) 五、报警信号产生器 (10) 六、调试及结果 (12) 七、体会 (13)

一、前言 本次课程设计的基本任务是着重提高学生在EDA知识学习与应用方面的实践技能。学生通过电路设计安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 EDA技术是电子信息类专业的一门新兴学科,是现代电子产品设计的核心,其任务是掌握在系统可编程逻辑器件及其应用设计技术,为电子产品开发研制打下坚实基础。 本课程设计对学生有如下要求:根据设计任务和指标,初步电路;通过调查研究,设计计算,确定电路方案;选择元器件,在计算机上连好线路,独立进行试验,并通过调试、仿真、改进方案;分析实验结果,写出设计总结报告:学会自己分析,找出解决问题方法;对设计中遇到的问题,能独立思考、查阅资料,寻找答案。 二、课设任务 1、14位数字密码分成高7位(DH6…DH0)和低7位(DL6…DL0), 用数字逻辑开关预置,输出信号out为1表示开锁,否则关闭。 2、14位数字密码分时操作,先预置高7位,然后再置入低7位。 3、要求电路工作可靠,保密性强,开锁出错立即报警。 4、利用MAX plus2 软件进行设计、编译,并在FPGA芯片上实现。 5、简易14位数字密码锁模块的框图如下: 三、方案设计、原理分析 首先我是一班的三号,所以我的密码时0100010 0000011。我所做的设计是先把高七位输入锁存,然后在输入低七位,

最后判断密码是否正确,密码正确就开锁,密码错误就报警。数字密码锁控制电路的组成部分:YMQ模块, 1、IC9A的设计 设计要求14位数字密码分时操作,先预置高七位0100010,而后置低七位0000011,首先可以使用寄存器将高七位存起来,而后与低七位一起进行译码,如果密码正确,输出OUT2为1,否则为0. 2、数字密码锁控制电路原理图:

数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计 课题:电子密码锁设计 姓名: 班级:13通信 学号: 成绩: 指导教师: 开课时间:

目录 摘要 (1) 一课程设计目的内容及安排 (2) 1.1设计目的 (2) 1.2设计内容 (2) 1.3设计安排 (2) 1.4设计内容 (2) 二电子密码锁设计要求及总框图 (3) 2.1设计要求 (3) 2.2总框图 (4) 三各模块电路设计 (5) 3.1密码输入存储比较模块 (5) 3.2五秒计时电路 (6) 3.3二十秒计时电路 (8) 3.4报警电路 (10) 3.5总电路 (11) 四设计心得 (12) 五参考文献 (13)

电子密码锁 摘要:设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。密码输入存储及比较部分使用芯片74LS194及74LS85。五秒及时部分采用芯片74LS161和数码显示管。二十秒报警电路由74LS160,555定时器组成的多谐振荡器,LED灯和蜂鸣器组成。利用multisim对电路进行仿真可以得到结果。 关键词:电子密码锁,计时电路,报警电路

一课程设计目的内容及安排 1.1设计目的 1 根据设计要求,完成对交通信号灯的设计。 2 加强对Multisim10仿真软件的应用。 3 掌握交通信号灯的主要功能与在仿真软件中的实现方法。 4 掌握74LS160,74LS192等功能。 1.2 设计内容 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁; 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 1.3设计安排

四位电子密码锁

沈阳 课程设计 (说明书) 四位电子密码锁的设计 班级/ 学号 学生姓名 指导教师

课程设计任务书 课程名称数字逻辑课程设计 课程设计题目四位电子密码的设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个四位电子密码锁电路,技术指标如下: ①通过键盘电路输入四位密码。初始密码0000设定。 ②密码输入正确后,锁打开。在锁打开时,才可修改密码。 ③密码输入错误有适当的提示。三次错误后,具有声、光报警功能。二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 彭介华.电子技术课程设计指导[M].北京:高等教育出版社 2. 孙梅生,李美莺,徐振英. 电子技术基础课程设计[M]. 北京:高等教育出版社 3. 梁宗善. 电子技术基础课程设计[M]. 武汉:华中理工大学出版社 4. 张玉璞,李庆常. 电子技术课程设计[M]. 北京:北京理工大学出版社 5. 谢自美.电子线路设计·实验·测试(第二版)[M].武汉:华中科技大学出版社 五、按照要求撰写课程设计报告 成绩指导教师日期

一、概述 随着人们生活水平的提高,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,因此电子密码锁在实现家庭防盗这一问题也变得尤其的突出,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。这种锁是通过键盘输入一组密码来达到开锁的目的。本课程设计一个电子密码锁,要求是设定4位的密码锁,输入4位输入密码,然后与已设置好的密码相比较,密码输入正确后即可开锁,开锁后才可执行密码修改的功能,如果输入三次错误密码就不断报警(包括声音和光两种报警方式)。 二、方案论证 设计一个四位电子密码锁,通过输入四位密码,并且一位一位的进行比较,能够在输入正确的密码后打开锁,并且只有在打开锁之后判断是否修改密码,如果输入密码错误,则记录一次输入错误信息,如果输入三次错误密码,则有声音(蜂鸣)或者光(灯亮)报警。 方案一: 本方案通过控制开关键入密码,通过74LS148把相应的十进制数转化成相应的四位二进制码,与寄存在74LS195的原始密码通过数值比较器74LS85进行比较,如果四位密码都输入正确,则提示锁打开,然后提示可以修改密码;如果密码输入错误,则记录一次,当输入错误三次,则有报警提示。 图1 四位电子密码锁电路的原理框图

基于VHDL的电子密码锁的设计(1)

《E D A仿真与实践实习》 学院:信息科学与工程学院 课题名称:硬件描述语言设计 ——基于VHDL的电子密码锁的设计 班级: 学生: 学号: 指导教师:

1 引言 在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。 基于EDA技术设计的电子密码锁。以其价格便宜、使用方便、安全性高、成本低、功耗低、易操作等优点,受到了人们的普遍关注。而以可编程逻辑器件(FBDA)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以QuartusⅡ5.1开发软件等为设计工具设计的电子密码锁,由于其能够实现密码输入、密码校验、密码设置和更改等功能,因此,能够满足社会对安全防盗的需求。 本设计的各个模块由相应的VHDL程序具体实现,并在QuartusⅡ5.1环境下进行了整体电路的模拟仿真,最终实现“密码锁控制器设计”的要求。 2 设计内容和要求 2.1 设计内容: 题目:电子密码锁 内容:设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮一个指示灯。否则进入“错误”状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好。 (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又自动等待下一个开锁状态。 要求: (1)通过查阅相关技术资料,详细描述电子密码锁的基本原理。 (2)编写电子密码锁的Verilog HDL或VHDL程序,并仿真编译下载验证。

基于单片机数字密码锁的设计要点

摘要 随着科技和人们的生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统机械锁由于构造简单,被撬事件屡见不鲜;电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。 单片机也被称微控器,是因为它最早被用在工业控制领域。单片机是靠程序运行的,并且可以修改。 本设计系统主机采用8052单片机,MCS-51单片机的程序存储器和数据存储器的地址空间是相互独立的,而且程序存储器一般为ROM或EPROM,只能读出不能写入。扩展用的程序存储器芯片大多采用EPROM芯片,最大可扩展到64K字节。该设计使用矩阵键盘输入。LED数码管显示输入密码,用74HC245驱动数码管发光显示数码,LCD1602控制显示。密码正确,二极管发光。输入密码错误次数超过三次系统报警,蜂鸣器发出报警音。 关键词:单片机软件电路硬件电路

目录 第一章设计要求 (1) 第二章系统组成及工作原理 (2) 第三章硬件电路设计 (3) 3.1 STC89C52单片机的介绍 (3) 3.2单片机最小系统 (5) 3.3键盘电路设计 (6) 3.4 LCD1602显示电路 (8) 3.5开锁电路 (11) 3.6报警电路 (11) 3.7仿真效果图 (12) 第四章软件设计 (13) 4.1 PROTEUS仿真软件 (13) 4.2 KEIL编译设计 (15) 4.3 普中ISP自动下载软件 (16) 4.4程序流程图 (18) 第五章设计、调试和测试结果与分析 (19) 第六章设计小结 (23) 参考文献 (24) 附录 (25)

第一章设计要求 采用单片机、LCD等芯片,设计电子密码锁,能随时修改密码,具有防多次试探功能,连续输入密码达到一定次数,发出光声报警密码输入错误时有报警功能,连续输入3次错误,键盘自锁,等待管理解锁;开锁后或修改密码后可以选择退出,返回开锁前状态。掌握Proteus软件的基本应用,用于设计与仿真,需要用PROTEUS软件绘制电路原理图及局部原理图;掌握单片机编程语言,可选用汇编语言或C语言; 本次课程设计是要设计一个数字密码锁,设计要求如下: 1、设计一个数字式密码锁。 2、密码由4 – 6位数字组成。 3、密码相符开锁,三次不符报警。 4、密码可以更新。

4位电子密码锁设计

电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。应用较广的电子密码锁是以芯片为核心,通过编程来实现的。 本系统由STC89C52单片机系统(主要是STC89C52单片机最小系统)、4×4矩阵键盘、LCD1602显示和报警系统等组成,具有设置、修改4位电子密码、连续输入三次密码错误报警等功能。 关键词:STC89C52;LCD1602;4×4矩阵键盘;4位电子密码锁

In daily life and work, for security reasons, the department of housing and security, unit documents, financial statements and some personal information to save more in order to lock the ways to solve. Locking method with the traditional mechanical lock and emerging electronic combination lock. Compared with the traditional mechanical lock, because the electronic combination lock, the secrecy good, the large amount of programming, password variable, can call the police and other characteristics, so the performance and security of the electronic combination lock far from traditional mechanical lock. Electronic combination lock is a password or to control circuit, so as to control mechanical switches closed, lock, lock task of electronic products. It's a lot of more phyletic, has simple circuit products, also has a high cost performance products based on the chip. Widely used electronic coded lock based on chip as the core, through the programming. Key words: STC89C52; LCD1602; Electronic password lock; 4×4 keyboard

14位数字密码锁两种设计方案

设计方案 系统设计方案 利用所学的电子技术知识和电子设计方法,设计出一个电子密码锁有以下两种基本方案可以选择: 方案一:利用数字逻辑电路,运用各种门电路,计数器,触发器,锁存器,编/译码器等数字逻辑器件实现电子控制。从而实现想要设计的电子密码锁的功能。此方法设计简单,但硬件电路比较多,操作起来比较复杂。 方案二:使用MCS-51系列单片机为核心控制附加一些简单的外围电路,利用单片机的一个I/O端口组成4×4键盘作为输入电路,采用汇编语言编写程序来实现电子密码锁的各项功能,程序语言功能强大,调试较为简单。具有很强的实用性。 设计方案选择 综上提出的两种方案,方案一给出的采用数字逻辑电路的设计方法的好处就是设计简单,因为采用数字逻辑电路可以分成各个功能模块来设计,每个模块实现各自的一个功能。这钟方法设计的密码锁电路大致包含:按键输入、密码核对、密码修改、开锁电路、错误提示电路等功能模块。采用数字电路虽然设计简单但是操作繁琐,要运用很多数字逻辑器件,硬件电路复杂,而且可能会出现较多器件故障,同时难以检查和维护。方案二提出的使用单片机为核心控制的方案,利用单片机丰富的I/O端口和灵活的编程设计,不但能实现密码锁的功能,而且控制准确性高,外围电路少硬件电路简单,方便灵活,调试简单不易出错,体积小成本低也利于现实中实现,具有较高的实际意义和实用价值。这个设计方案的最关键的地方就在于编程,利用程序的执行来实现密码锁的基本功能,因此单片机方案还有较大活动空间,能在很大程度上扩展功能,方便对系统进行升级。 针对第一种方案:系统vhdl设计 功能描述: 假设设计的密码锁有7个数据输入键,分别用K1到K7表示;一个“确认键”(按一下确认键,密码锁内部就产生一个正脉冲),用CLK_AFFIRM表示;一个“重置和修改密码使能键”,用S/W 表示;一个开锁状态指示灯GREENLED;一个密码输入错误报警器REDLED. 1.密码预置。未使用过的密码锁初始状态为“打开”,内部密码为随机数,故使用前必须进行密码 预置。通过按键将S/W调为“1”,密码锁进入密码预置模式,按照K1~K7→确认键→K1~K7→确认键的顺序输入想要设置的密码,其中K1~K7表示的意思是,给K1到K7赋值,赋值顺序可任意改变,但一旦按下确认键,K1到K7分别对应的数值便不可改变。整个过程中只要按下两次确认键,密码预置便成功完成。 2.密码验证。通过按键将S/W调为“0”,密码锁进入密码验证模式。按照K1~K7→确认键→K1~K7 →确认键的顺序输入密码,如果密码与预置的密码(如果修改过密码,则与最后一次修改成功后的密码)相匹配,开锁指示灯GREENLED变亮,同时锁子被打开。如果没反应,需要重新输入密码,但是输入密码的次数最多为3次,如果3次都输入了错误密码,那么错误报警器REDLED 就会报警,如果继续输入了正确密码,报警器就会解除警报。 3.密码修改。用户必须提供正确的密码后方能进入密码修改模式,否则,修改无效。如果用户通 过了密码验证,那么此时将S/W调为“1”便可顺利进入密码修改模式。修改密码过程与重置类

数字密码锁设计报告

1 设计任务描述 1.1设计题目:数字密码锁 1.2 设计要求 1.2.1 设计目的 (1)掌握数字密码锁的构成、原理与设计方法; (2)熟悉集成电路的使用方法。 1.2.2 基本要求 (1)设计一个电子密码锁,在锁开的状态下输入密码,设置的密码共4位,用数据开关K0~K9分别代表数字0、1、2、3、4、5、6、7、8、9。 (2)用一位输出电平的状态代表锁的开闭状态(用灯光显示或报警表示)。 1.2.3 发挥部分 (1)可删除输入的数字; (2)三次错误输入锁定键盘,并发出提示或报警;其它。

2 设计思路 用密码去控制各个D触发器的翻转,达到密码开锁的目的,用按钮开关去控制电子门铃的触发信号,达到按响门铃的目的。四个D触发器N1-N4构成四位密码电路,可手动开关与D触发器的连接来设置密码。平时四个D触发器的CP端处于悬空状态,触发器保持原状态不变。当与四个D触发器连接的开关闭合时,四个D触发器都的CP端都获得了下降沿,于是Q1=Q2=Q3=Q4=1,用此Q4=1去控制开锁,用二极管发光来显示开锁成功。 因电容C2电压不能突变,在接通电源瞬间C2的电压为零,使得N1-N4各位皆为零。 输入三次错误密码时,会由计数器74193来检测,并发出报警信号和锁定键盘的信号。

3 设计方框图数字密码锁设计方框如图3.1所示。 图3.1设计方框图

4各部分电路设计及参数计算 4.1密码的设置电路设计 密码设置和输入密码的电路如图4.1所示。 图4.1密码设置 图中默认的密码为0953,用户可以自行设置密码。共有10个开关可设置0-9个数字的密码,第11个开关为复位开关,当输入错误时可以选择复位,重新输入。其他的开关为干扰密码。 4.2判断密码是否正确的电路的设计 判断密码正误的电路图如图4.2所示。 图4.2判断密码正误 四个D触发器N1-N4构成四位密码电路,可手动开关与D触发器的连接来设置密码。平时四个D触发器的CP端处于悬空状态,触发器保持原状态不变。当与四个D触发器连接的开关闭合时,四个D触发器都的CP端都获得了下降沿,于是Q1=Q2=Q3=Q4=1,用此Q4=1去控制开锁。

4位数字密码锁的设计

1技术指标? 用与非门设计一个4位或多位代码的数字锁,要求如下: A:设计一个保险箱用的多位代码数字锁,比如4位代码ABCD四个输入端和一个开锁用的钥匙插孔输入端E,当开箱时(E=1),如果输入代码(例如ABCD=1010)与设定的代码相同,则保险箱被打开,即输出端Z=1,否则电路发出报警信号: B:进行电路仿真,并说明其工作原理。

2方案比较 方案一:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、D四个输入端的电平的高低,进而控制输出电平的高低以及报警信号的工作。当输入端与

方案二:用4个异或门连接输入端,并分别于反相器连接,再相与。当输入密码与设置密码相同时,电路输出为高电平,发光二极管不亮,当输入密码与设置密码不相同时,电路输出为低电平,发出报警,发光二极管亮。其电路图如图6.1 3Proteus软件介绍 Proteus软件是来自英国Labcenterelectronics公司的EDA工具软件。 Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是Proteus Lite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。?Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。此系统受益于15年来的持续开发,被《电子世界》在其对PCB设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。Proteus产

相关文档
相关文档 最新文档