文档库 最新最全的文档下载
当前位置:文档库 › 模拟集成电路复习

模拟集成电路复习

模拟集成电路复习
模拟集成电路复习

1、 研究模拟集成电路的重要性:(1)首先,MOSFET 的特征尺寸越来越小,本征速度越来

越快;(2)SOC 芯片发展的需求。 2、 模拟设计困难的原因:(1)模拟设计涉及到在速度、功耗、增益、精度、电源电压等多

种因素间进行折衷,而数字电路只需在速度和功耗之间折衷;(2)模拟电路对噪声、串扰和其它干扰比数字电路要敏感得多;(3)器件的二级效应对模拟电路的影响比数字电路要严重得多;(4)高性能模拟电路的设计很少能自动完成,而许多数字电路都是自动综合和布局的。

3、 鲁棒性就是系统的健壮性。它是在异常和危险情况下系统生存的关键。所谓“鲁棒性”,

是指控制系统在一定的参数摄动下,维持某些性能的特性。 4、 版图设计过程:设计规则检查(DRC )、电气规则检查(ERC )、一致性校验(LVS )、RC

分布参数提取

5、 MOS 管正常工作的基本条件是:所有衬源(B 、S )、衬漏(B 、D )pn 结必须反偏

6、 沟道为夹断条件:

7、 (1)截止区:Id=0;Vgs

(2)线性区的NMOSFET (0 < VDS < VGS -VT )

(3)饱和区的MOSFET (VDS ≥ VGS-VT )

2)(2TH GS ox n D V V L

W

C I -=

μ 8、 栅极跨导gm :是表征栅-源电压对于输出漏极电流控制作用强弱的一个重要的参数,它

反映了器件的小信号放大性能,希望越大越好。

9、 体效应:理想情况下是假设晶体管的衬底和源是短接的,实际上两者并不一定电位相同,

当VB 变得更负时,Vth 增加,这种效应叫做体效应。体效应会改变晶体管的阈值电压。 10、

11、亚阈值导电性:当Vgs 下降到低于Vth 时器件突然关断。实际上,Vgs==Vth 时,一个“弱”的强反型仍然存在,并有一些源漏电流。当Vgs

?GD GS DS T DS GS TH

H V =V -≤V V V -V ≥V μ2

D n ox

GS TH DS DS W 1I =C [(V -V )V -V ]L 2??D m VDS=const

GS

n ox

GS TH I g =

V W

=μC (V

-V )

L

m D GS TH

g =2I =

V -V 2n ox D GS TH DS μC W

I =

(V

-V )(1+λV )2L

12、形成沟道时的V G 称为阈值电压记为V T

13、MOS 低频小信号

14、模拟电路的八边形法则:

15、共源级的四种接法:

(1)采用电阻负载的共源级;增益:

(2)采用二级管接法;

m b m o m b m x x g g 1

r ||g g 1I V +≈+= η

11(W/L)(W/L)A 21

v +-

=

(增益与偏置电流无关,即输入与输出呈线性(大信号时也如此!) (3)采用电流源负载的共源级

o2o1m v r ||r g A -=

(4)工作在线性区的MOS 负载的共源级

dep

TH MS F ox Q V =Φ+2Φ+

C

v m D D

A =-g R =

ON2m v R g A -=

(5)带源极负反馈的共源级

S m D

S m D m v R 1/g R R g 1R g A +-

=+-=

16、源极跟随器(可以起到一个电压缓冲器的作用)

17、共栅放大器

直接耦合的共栅级 电容耦合的共栅级

D m D mb m v η)R (1g )R g (g A +=+=

11;()1()out in bs out out

m mb bs S

out m in out mb out S

out m S

in m mb S

V V V V V V g V g V R V g V V g V R V g R V g g R =-=-+=

--==

+

+

D o S o mb m out R ||}r ]R )r g (g {[1R +++=

18、共源共栅放大器:

D m b 2m 2o 2o 1D o 2o 1o 2m b 2m 2R ||)]g (g r [r R ||}r ]r )r g (g {[1Rout +≈+++=

]}R ||)]g (g r {[r g A D mb2m2o2

o1m1V +≈ 共源共栅优点:产生大的增益;屏蔽特性;输出阻抗高。 19、差动信号的优点:(1) 能有效抑制共模噪声;(2) 增大了输出电压摆幅(是单端输出的两倍);(3) 偏置电路更简单(差分对可以直接耦合)、输出线性度更高; (4) 缺点是芯片面积和功耗略有增加.

(β=μ

n C OX (W/L))

in ΔV =

?2

D GS TN GS TN

βI =(V -V )V =V 2

20、基本电流镜:

电流镜作用:(1)电流镜可以精确的复制电流而不受工艺和温度影响。Iout 和IREF 比值由

器件尺寸的比率决定,该值可以控制在合理精度范围内。

(2)为差动放大器起偏置作用

(为抑制沟道长度调制的影响,可以使用共源共栅的电流镜) 有源电流镜:像有源器件一样用来处理信号的电流镜结构叫做有源电流镜。 21、共源放大器的高频模型

(C GD 会产生密勒效应)

[]G D D m G S

S i n p ,)C R g (1C 2πR 1

f ++=

()[]D D B G D o u t p ,R C C 2π

1

f +=

22、共源共栅放大器的高频特性

0102V D D

in1in2V -V A =

==V -V

2n OX REF 1GS TN μC W I =()(V -V )

2L 2n OX out

2GS TN μC W I =()(V -V )2L 2out

REF 1(W/L)I =I (W/L)

(从M 2 源极看进去的低频输入电阻约为 1/(g m2+g mb2), 这也是M 1的负载低频电阻;C GD1

的密勒效应由A 点到X 电的增益A VX 决定;A VX = -g m1 /(g m2+g mb2) ,若M 1、M 2的宽长比大致相同,则A VX ≈1;C GD1 在输入节点产生的密勒效应电容大小近似为 2C GD1,同CS 放大器相比,显然小了很多)

()G S 2S B 2D B 1G D 1m b 2

m 2X p ,C C C C 2π

g g f ++++≈

()

G D 2L D B 2D Y p ,C C C 2πR 1

f ++=

]

)C g g g (1[C 2πR 1

f G D 1m b 2

m 2m 1

G S 1S A p ,++

+=

23、噪声谱,也称为“功率谱密度“(PSD ,表示在每一个频率上信号具有的功率大小。噪声

波形X (t )的PSD ,即Sx(f),被定义成在f 附近1HZ 带宽内X (t )具有的平均功率。单位:V2/HZ

热噪声分为:电阻热噪声,闪烁噪声 24、反馈电路特性: (1)增益灵敏度降低;(2)终端阻抗变化;(3)带宽变化;(4)非线性减小 OUT IN AV RIN ROUT 1、 电压—电压(串) 减小 增大 减小 2、 电压—电流(并) 减小 减小 减小 3、 电流—电压(串) 减小 增大 增大 4、 电流—电流(并) 减小 减小 增大

25、便求解,在一定条件下可用(点—结点关联)估算系统的极点频率。

26、类型的晶体管相比,MOS 器件的尺寸很容易按比例_缩小,CMOS 电路被证明具有_较低__的制造成本。

27 放大应用时,通常使MOS 管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来表示电压转换电流的能力。

28、源跟随器主要应用是起到___电压缓冲器___的作用。

29、栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 30、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会

引起差动输出的改变。

31、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 32沟道长度调制

解:当栅与漏之间的电压增大时,实际的反型沟道长度逐渐减小,也就是说,L 实际上是V DS 的函数,这种效应称为沟道长度调制。 33、等效 夸导Gm

解:对于某种具体的电路结构,定义in

D

V I ??为电路的等效跨导,来表示输入电压转换成输出电流的能力 34、输出摆幅

解:输出电压最大值与最小值之间的差。

1、“MOS 器件即使没有传输电流也可能导通”,这种说法正确么?为什么?

解:正确。当)(2TH GS DS V V V -<<时,器件工作在深线性区,此时虽然足够的V GS 可以满

足器件的导通条件,但是V DS 很小,以至于没有传输电流。

3、带有源极负反馈的共源极放大电路相对于基本共源极电路有什么优点? 解:由带有源极负反馈的共源极放大电路的等效跨导表达式

得,若R S >>1/g m ,

则G m ≈1/R S ,所以漏电流是输入电压的线性函数。所以相对于基本共源极电路,带有源极负反馈的共源极放大电路具有更好的线性。

4. 在传输电流为零的情况下,MOS 器件也可能导通么?说明理由。

解:可能。当)(2TH GS DS V V V -<<时,器件工作在深线性区,此时虽然足够的V GS 可以满

足器件的导通条件,但是V DS 很小,以至于没有传输电流

(完整版)集成电路设计复习题及解答

集成电路设计复习题 绪论 1.画出集成电路设计与制造的主要流程框架。 2.集成电路分类情况如何? 集成电路设计 1.层次化、结构化设计概念,集成电路设计域和设计层次 2.什么是集成电路设计?集成电路设计流程。 (三个设计步骤:系统功能设计逻辑和电路设计版 图设计) 3.模拟电路和数字电路设计各自的特点和流程 4.版图验证和检查包括哪些内容?如何实现? 5.版图设计规则的概念,主要内容以及表示方法。为什么需要指定版图设计规则? 6.集成电路设计方法分类? (全定制、半定制、PLD) 7.标准单元/ 门阵列的概念,优点/缺点,设计流程 8.PLD设计方法的特点,FPGA/CPLD的概念 9.试述门阵列和标准单元设计方法的概念和它们之间的异同点。 10.标准单元库中的单元的主要描述形式有哪些?分别在IC设计的什么阶段应用? 11.集成电路的可测性设计是指什么? Soc设计复习题 1. 什么是SoC? 2. SoC设计的发展趋势及面临的挑战? 3. SoC设计的特点? 4. SoC设计与传统的ASIC设计最大的不同是什么? 5. 什么是软硬件协同设计? 6. 常用的可测性设计方法有哪些? 7. IP 的基本概念和IP分类 8. 什么是可综合RTL代码? 9. 么是同步电路,什么是异步电路,各有什么特点? 10. 逻辑综合的概念。 11. 什么是触发器的建立时间( Setup Time ),试画图进行说明。 12. 什么是触发器的保持时间( Hold Time ),试画图进行说明。 13. 什么是验证,什么是测试,两者有何区别? 14. 试画图简要说明扫描测试原理。

绪论 1、画出集成电路设计与制造的主要流程框架。 2、集成电路分类情况如何? 双极型 数字模拟混合电路按应用领域分类 集成电路设计 1.层次化、结构化设计概念,集成电路设计域和设计层次分层分级设计和模块化设计.将一个复杂的集成电路系统的设计问题分解为复杂性较低的设计级别,集成电路MSI 按规模分 LSI 类VLSI ULSI GSI 数字电 按功能分类模拟电 路组合逻辑电路 路时序逻辑电路 路线性电路 路非线性电路 单片集成 按结构分类 混合集 成 SSI PMOS 电M路OS 型NMOS CMOS B iMOS B iMOS 型 B iCMOS 电 厚路膜混合集 成 电路 薄路膜混合集 成 电路

集成电路工艺复习资料

1.特征尺寸(Critical Dimension,CD)的概念 特征尺寸是芯片上的最小物理尺寸,是衡量工艺难度的标志,代表集成电路的工艺水平。①在CMOS技术中,特征尺寸通常指MOS管的沟道长度,也指多晶硅栅的线宽。②在双极技术中,特征尺寸通常指接触孔的尺寸。 2.集成电路制造步骤: ①Wafer preparation(硅片准备) ②Wafer fabrication (硅片制造) ③Wafer test/sort (硅片测试和拣选) ④Assembly and packaging (装配和封装) ⑤Final test(终测) 3.不同晶向的硅片,它的化学、电学、和机械性质都不同,这会影响最终的器件性能。例如迁移率,界面态等。MOS集成电路通常用(100)晶面或<100>晶向;双极集成电路通常用(111)晶面或<111>晶向。 4.硅热氧化的概念、氧化的工艺目的、氧化方式及其化学反应式。 氧化的概念:硅热氧化是氧分子或水分子在高温下与硅发生化学反应,并在硅片表面生长氧化硅的过程。 氧化的工艺目的:在硅片上生长一层二氧化硅层以保护硅片表面、器件隔离、屏蔽掺杂、形成电介质层等。 氧化方式及其化学反应式:①干氧氧化:Si+O2 →SiO2 ②湿氧氧化:Si +H2O +O2 →SiO2+H2 ③水汽氧化:Si +H2O →SiO2 +H2 硅的氧化温度:750 ℃~1100℃ 5.SiO2在集成电路中的用途 ①栅氧层:做MOS结构的电介质层(热生长) ②场氧层:限制带电载流子的场区隔离(热生长或沉积) ③保护层:保护器件以免划伤和离子沾污(热生长) ④注入阻挡层:局部离子注入掺杂时,阻挡注入掺杂(热生长) ⑤垫氧层:减小氮化硅与硅之间应力(热生长) ⑥注入缓冲层:减小离子注入损伤及沟道效应(热生长)

集成电路设计基础复习分析

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案: 标准单元方法设计与门阵列法基本的不同点有:(1) 在门阵列法中逻辑图是转换成门阵列所具有的单元或宏单元,而标准单元法则转换成标准单元库中所具有的标准单元。(2) 门阵列设计时首先要选定某一种门复杂度的基片,因而门阵列的布局和布线是在最大的门数

集成电路工艺原理(期末复习资料)

第一章 1、何为集成电路:通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、 电容等无源器件,按照一定的电路互连,“集成”在一块半导体单晶片(如Si、GaAs)上,封装在一个内,执行特定电路或系统功能。 关键尺寸:集成电路中半导体器件能够加工的最小尺寸。 2、它是衡量集成电路设计和制造水平的重要尺度,越小,芯片的集成度越高,速度越 快,性能越好 3、摩尔定律:、芯片上所集成的晶体管的数目,每隔18个月就翻一番。 4、High-K材料:高介电常数,取代SiO2作栅介质,降低漏电。 Low-K 材料:低介电常数,减少铜互连导线间的电容,提高信号速度 5、功能多样化的“More Than Moore”指的是用各种方法给最终用户提供附加价值,不 一定要缩小特征尺寸,如从系统组件级向3D集成或精确的封装级(SiP)或芯片级(SoC)转移。 6、IC企业的分类:通用电路生产厂;集成器件制造;Foundry厂;Fabless:IC 设计公 司;Chipless;Fablite 第二章:硅和硅片的制备 7、单晶硅结构:晶胞重复的单晶结构能够制作工艺和器件特性所要求的电学和机械性 能 8、CZ法生长单晶硅把熔化的半导体级硅液体变成有正确晶向并且被掺杂成n或p型 的固体硅锭; 9、直拉法目的:实现均匀掺杂和复制籽晶结构,得到合适的硅锭直径,限制杂质引入; 关键参数:拉伸速率和晶体旋转速度 10、CMOS (100)电阻率:10~50Ω?cm BJT(111)原因是什么? 11、区熔法?纯度高,含氧低;晶圆直径小。 第三章集成电路制造工艺概况 12、亚微米CMOS IC 制造厂典型的硅片流程模型 第四章氧化;氧化物 12、热生长:在高温环境里,通过外部供给高纯氧气使之与硅衬底反应,得到一层热生长的SiO2 。 13、淀积:通过外部供给的氧气和硅源,使它们在腔体中方应,从而在硅片表面形成一层薄膜。 14、干氧:Si(固)+O2(气)-> SiO2(固):氧化速度慢,氧化层干燥、致密,均匀性、重复性好,与光刻胶的粘附性好. 水汽氧化:Si (固)+H2O (水汽)->SiO2(固)+ H2 (气):氧化速度快,氧化层疏松,均匀性差,与光刻胶的粘附性差。 湿氧:氧气携带水汽,故既有Si与氧气反应,又有与水汽反应。氧化速度氧化质量介于以上两种方法之间。

数字集成电路复习资料

第一章 数字集成电路介绍 第一个晶体管,Bell 实验室,1947 第一个集成电路,Jack Kilby ,德州仪器,1958 摩尔定律:1965年,Gordon Moore 预言单个芯片上晶体管的数目每18到24个月翻一番。(随时间呈指数增长) 抽象层次:器件、电路、门、功能模块和系统 抽象即在每一个设计层次上,一个复杂模块的内部细节可以被抽象化并用一个黑匣子或模型来代替。这一模型含有用来在下一层次上处理这一模块所需要的所有信息。 固定成本(非重复性费用)与销售量无关;设计所花费的时间和人工;受设计复杂性、设计技术难度以及设计人员产出率的影响;对于小批量产品,起主导作用。 可变成本 (重复性费用)与产品的产量成正比;直接用于制造产品的费用;包括产品所用部件的成本、组装费用以及测试费用。每个集成电路的成本=每个集成电路的可变成本+固定成本/产量。可变成本=(芯片成本+芯片测试成本+封装成本)/最终测试的成品率。 一个门对噪声的灵敏度是由噪声容限NM L (低电平噪声容限)和NM H (高电平噪声容限)来度量的。为使一个数字电路能工作,噪声容限应当大于零,并且越大越好。NM H = V OH - V IH NM L = V IL - V OL 再生性保证一个受干扰的信号在通过若干逻辑级后逐渐收敛回到额定电平中的一个。 一个门的VTC 应当具有一个增益绝对值大于1的过渡区(即不确定区),该过渡区以两个有效的区域为界,合法区域的增益应当小于1。 理想数字门 特性:在过渡区有无限大的增益;门的阈值位于逻辑摆幅的中点;高电平和低电平噪声容限均等于这一摆幅的一半;输入和输出阻抗分别为无穷大和零。 传播延时、上升和下降时间的定义 传播延时tp 定义了它对输入端信号变化的响应有多快。它表示一个信号通过一个门时所经历的延时,定义为输入和输出波形的50%翻转点之间的时间。 上升和下降时间定义为在波形的10%和90%之间。 对于给定的工艺和门的拓扑结构,功耗和延时的乘积一般为一常数。功耗-延时积(PDP)----门的每次开关事件所消耗的能量。 一个理想的门应当快速且几乎不消耗能量,所以最后的质量评价为。能量-延时积(EDP) = 功耗-延时积2 。 第三章、第四章CMOS 器件 手工分析模型 ()0 12' 2 min min ≥???? ??=GT DS GT D V V V V V L W K I 若+-λ ()DSAT DS GT V V V V ,,m in min = 寄生简化:当导线很短,导线的截面很大时或当所采用的互连材料电阻率很低时,电感的影响可 以忽略:如果导线的电阻很大(例如截面很小的长 铝导线的情形);外加信号的上升和下降时间很 慢。 当导线很短,导线的截面很大时或当所采用的互 连材料电阻率很低时,采用只含电容的模型。 当相邻导线间的间距很大时或当导线只在一段很 短的距离上靠近在一起时:导线相互间的电容可 以被忽略,并且所有的寄生电容都可以模拟成接 地电容。 平行板电容:导线的宽度明显大于绝缘材料的厚度。 边缘场电容:这一模型把导线电容分成两部分:一个平板电容以及一个边缘电容,后者模拟成一条圆柱形导线,其直径等于该导线的厚度。 多层互连结构:每条导线并不只是与接地的衬底耦合(接地电容),而且也与处在同一层及处在相 邻层上的邻近导线耦合(连线间电容)。总之,再 多层互连结构中导线间的电容已成为主要因素。这一效应对于在较高互连层中的导线尤为显著, 因为这些导线离衬底更远。 例4.5与4.8表格 电压范围 集总RC 网络 分布RC 网络 0 → 50%(t p ) 0.69 RC 0.38 RC 0 → 63%(τ) RC 0.5 RC 10% → 90%(t r ) 2.2 RC 0.9 RC 0 → 90% 2.3 RC 1.0 RC 例4.1 金属导线电容 考虑一条布置在第一层铝上的10cm 长,1μm 宽的铝线,计算总的电容值。 平面(平行板)电容: ( 0.1×106 μm2 )×30aF/μm2 = 3pF 边缘电容: 2×( 0.1×106 μm )×40aF/μm = 8pF 总电容: 11pF 现假设第二条导线布置在第一条旁边,它们之间只相隔最小允许的距离,计算其耦合电 容。 耦合电容: C inter = ( 0.1×106 μm )×95 aF/μm2 = 9.5pF 材料选择:对于长互连线,铝是优先考虑的材料;多晶应当只用于局部互连;避免采用扩散导线;先进的工艺也提供硅化的多晶和扩散层 接触电阻:布线层之间的转接将给导线带来额外的电阻。 布线策略:尽可能地使信号线保持在同一层上并避免过多的接触或通孔;使接触孔较大可以降低接触电阻(电流集聚在实际中将限制接触孔的最大尺寸)。 采电流集聚限制R C , (最小尺寸):金属或多晶至n+、p+以及金属至多晶为 5 ~ 20 Ω ;通孔(金属至金属接触)为1 ~ 5 Ω 。 例4.2 金属线的电阻 考虑一条布置在第一层铝上的10cm 长,1μm 宽的铝线。假设铝层的薄层电阻为0.075Ω/□,计算导线的总电阻: R wire =0.075Ω/□′(0.1′106 μm)/(1μm)=7.5k Ω 例4.5 导线的集总电容模型 假设电源内阻为10k Ω的一个驱动器,用来驱动一条10cm 长,1μm 宽的Al1导线。 电压范围 集总RC 网络 分布RC 网络 0 → 50%(t p ) 0.69 RC 0.38 RC 0 → 63%(τ) RC 0.5 RC 10% → 90%(t r ) 2.2 RC 0.9 RC 0 → 90% 2.3 RC 1.0 RC 使用集总电容模型,源电阻R Driver =10 k Ω,总的集总电容C lumped =11 pF t 50% = 0.69 ′ 10 k Ω ′ 11pF = 76 ns t 90% = 2.2 ′ 10 k Ω ′ 11pF = 242 ns 例4.6 树结构网络的RC 延时 节点i 的Elmore 延时: τDi = R 1C 1 + R 1C 2 + (R 1+R 3) C 3 + (R 1+R 3) C 4 + (R 1+R 3+R i ) C i 例4.7 电阻-电容导线的时间常数 总长为L 的导线被分隔成完全相同的N 段,每段的长度为L/N 。因此每段的电阻和电容分别为rL/N 和cL/N R (= rL) 和C (= cL) 是这条导线总的集总电阻 和电容()()()N N RC N N N rcL Nrc rc rc N L DN 2121 (2222) +=+=+++??? ??=τ 结论:当N 值很大时,该模型趋于分布式rc 线;一条导线的延时是它长度L 的二次函数;分布rc 线的延时是按集总RC 模型预测的延时的一半. 2 rcL 22=RC DN =τ 例4.8 铝线的RC 延时.考虑长10cm 宽、1μm 的 Al1导线,使用分布RC 模型,c = 110 aF/μm 和r = 0.075 Ω/μm t p = 0.38′RC = 0.38 ′ (0.075 Ω/μm) ′ (110 aF/μm) ′ (105 μm)2 = 31.4 ns Poly :t p = 0.38 ′ (150 Ω/μm) ′ (88+2′54 aF/μm) ′ (105 μm)2 = 112 μs Al5: t p = 0.38 ′ (0.0375 Ω/μm) ′ (5.2+2′12 aF/μm) ′ (105 μm)2 = 4.2 ns 例4.9 RC 与集总C 假设驱动门被模拟成一个电压源,它具有一定大小的电源内阻R s 。 应用Elmore 公式,总传播延时: τD = R s C w + (R w C w )/2 = R s C w + 0.5r w c w L 2 及 t p = 0.69 R s C w + 0.38 R w C w 其中,R w = r w L ,C w = c w L 假设一个电源内阻为1k Ω的驱动器驱动一条1μm 宽的Al1导线,此时L crit 为 2.67cm 第五章CMOS 反相器 静态CMOS 的重要特性:电压摆幅等于电源电压 à 高噪声容限。逻辑电平与器件的相对尺寸无关 à 晶体管可以采用最小尺寸 à 无比逻辑。稳态时在输出和V dd 或GND 之间总存在一条具有有限电阻的通路 à 低输出阻抗 (k Ω) 。输入阻抗较高 (MOS 管的栅实际上是一个完全的绝缘体) à 稳态输入电流几乎为0。在稳态工作情况下电源线和地线之间没有直接的通路(即此时输入和输出保持不变) à 没有静态功率。传播延时是晶体管负载电容和电阻的函数。 门的响应时间是由通过电阻R p 充电电容C L (电阻R n 放电电容C L )所需要的时间决定的 。 开关阈值V M 定义为V in = V out 的点(在此区域由于V DS = V GS ,PMOS 和NMOS 总是饱和的) r 是什么:开关阈值取决于比值r ,它是PMOS 和NMOS 管相对驱动强度的比 DSATn n DSATp p DD M V k V k V V = ,r r 1r +≈ 一般希望V M = V DD /2 (可以使高低噪声容限具有相近的值),为此要求 r ≈ 1 例5.1 CMOS 反相器的开关阈值 通用0.25μm CMOS 工艺实现的一个CMOS 反相器的开关阈值处于电源电压的中点处。 所用工艺参数见表3.2。假设V DD = 2.5V ,最小尺寸器件的宽长比(W/L)n 为1.5 ()()()() ()()()() V V L W V V V V k V V V V k L W L W M p DSATp Tp M DSATp p DSATn Tn M DSATn n n p 25.125.55.15.35 .320.14.025.1263.043.025.10.163.01030101152266 ==?==----?-???----=---= 分析: V M 对于器件比值的变化相对来说是不敏感的。将比值设为3、2.5和2,产生的V M 分别为1.22V 、1.18V 和 1.13V ,因此使PMOS 管的宽度小于完全对称所要求的值是可以接受的。 增加PMOS 或NMOS 宽度使V M 移向V DD 或GND 。不对称的传输特性实际上在某些设计中是所希望的。 噪声容限:根据定义,V IH 和V IL 是dV out /dV in = -1(= 增益)时反相器的工作点 逐段线性近似V IH = V M - V M /g V IL = V M + (V DD - V M )/g 过渡区可以近似为一段直线,其增益等于在开关阈值V M 处的增益g 。它与V OH 及V OL 线的交点用来定义V IH 和V IL 。点。

数字集成电路复习指南..

1. 集成电路是指通过一系列特定的加工工艺,将晶体管、二极管、MOS管等有源器件和阻、电容、电感等无源器件,按一定电路互连,“集成”在一块半导体晶片(硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的一种器件。 2.集成电路的规模大小是以它所包含的晶体管数目或等效的逻辑门数目来衡量。等效逻辑门通常是指两输入与非门,对于CMOS集成电路来说,一个两输入与非门由四个晶体管组成,因此一个CMOS电路的晶体管数除以四,就可以得到该电路的等效逻辑门的数目,以此确定一个集成电路的集成度。 3.摩尔定律”其主要内容如下: 集成电路的集成度每18个月翻一番/每三年翻两番。 摩尔分析了集成电路迅速发展的原因, 他指出集成度的提高主要是三方面的贡献: (1)特征尺寸不断缩小,大约每3年缩小1.41倍; (2)芯片面积不断增大,大约每3年增大1.5倍; (3)器件和电路结构的改进。 4.反标注是指将版图参数提取得到的分布电阻和分布电容迭加到相对应节点的参数上去,实际上是修改了对应节点的参数值。 5.CMOS反相器的直流噪声容限:为了反映逻辑电路的抗干扰能力,引入了直流噪声容限作为电路性能参数。直流噪声容限反映了电流能承受的实际输入电平与理想逻辑电平的偏离范围。 6. 根据实际工作确定所允许的最低输出高电平,它所对应的输入电平定义为关门电平;给定允许的最高输出低电平,它所对应的输入电平为开门电平 7. 单位增益点. 在增益为0和增益很大的输入电平的区域之间必然存在单位增益点,即dV out/dVin=1的点 8. “闩锁”现象 在正常工作状态下,PNPN四层结构之间的电压不会超过Vtg,因 此它处于截止状态。但在一定的外界因素触发下,例如由电源或 输出端引入一个大的脉冲干扰,或受r射线的瞬态辐照,使 PNPN四层结构之间的电压瞬间超过Vtg,这时,该寄生结构中就 会出现很大的导通电流。只要外部信号源或者Vdd和Vss能够提供 大于维持电流Ih的输出,即使外界干扰信号已经消失,在PNPN四 层结构之间的导通电流仍然会维持,这就是所谓的“闩锁”现象 9. 延迟时间: T pdo ——晶体管本征延迟时间; UL ——最大逻辑摆幅,即最大电源电压; Cg ——扇出栅电容(负载电容); Cw ——内连线电容; Ip ——晶体管峰值电流。

半导体集成电路工艺复习

第一次作业: 1,集成时代以什么来划分?列出每个时代的时间段及大致的集成规模。答: 类别时间 数字集成电路 模拟集成电路MOS IC 双极IC SSI 1960s前期 MSI 1960s~1970s 100~500 30~100 LSI 1970s 500~2000 100~300 VLSI 1970s后期~1980s后期>2000 >300 ULSI 1980s后期~1990s后期 GSI 1990s后期~20世纪初 SoC 20世纪以后 2,什么是芯片的集成度?它最主要受什么因素的影响? 答:集成度:单个芯片上集成的元件(管子)数。受芯片的关键尺寸的影响。 3,说明硅片与芯片的主要区别。 答:硅片是指由单晶生长,滚圆,切片及抛光等工序制成的硅圆薄片,是制造芯片的原料,用来提供加工芯片的基础材料;芯片是指在衬底上经多个工艺步骤加工出来的,最终具有永久可是图形并具有一定功能的单个集成电路硅片。 4,列出集成电路制造的五个主要步骤,并简要描述每一个步骤的主要功能。 答:晶圆(硅片)制备(Wafer Preparation); 硅(芯)片制造(Wafer Fabrication):在硅片上生产出永久刻蚀在硅片上的一整套集成电路。硅片测试/拣选(Die T est/Sort):单个芯片的探测和电学测试,选择出可用的芯片。 装配与封装(Assembly and Packaging):提供信号及电源线进出硅芯片的界面;为芯片提供机械支持,并可散去由电路产生的热能;保护芯片免受如潮湿等外界环境条件的影响。 成品测试与分析(或终测)(Final T est):对封装后的芯片进行测试,以确定是否满足电学和特性参数要求。 5,说明封装的主要作用。对封装的主要要求是什么。 答:封装的作用:提供信号及电源线进出硅芯片的界面;为芯片提供机械支持,并可散去由电路产生的热能;保护芯片免受如潮湿等外界环境条件的影响。 主要要求:电气要求:引线应当具有低的电阻、电容和电感。机械特性和热特性:散热率应当越高越好;机械特性是指机械可靠性和长期可靠性。低成本:成本是必须要考虑的比较重要的因素之一。 6,什么是芯片的关键尺寸?这种尺寸为何重要?自半导体制造业开始以来,芯片的关键尺寸是如何变化的?他对芯片上其他特征尺寸的影响是什么? 答:芯片上器件的物理尺寸被称为特征尺寸;芯片上的最小的特征尺寸被称为关键尺寸,且被作为定义制造工艺水平的标准。 为何重要:他代表了工艺上能加工的最小尺寸,决定了芯片上的其他特征尺寸,从而决定了芯片的面积和芯片的集成度,并对芯片的性能有决定性的影响,故被定义为制造工艺水平的标准。

《数字集成电路设计》复习提纲

《数字集成电路设计》复习提纲(1-7章) 2011-12 1. 数字集成电路的成本包括哪几部分? ●NRE (non-recurrent engineering) costs固定成本 ●design time and effort, mask generation ●one-time cost factor ●Recurrent costs重复性费用或可变成本 ●silicon processing, packaging, test ●proportional to volume ●proportional to chip area 2. 数字门的传播延时是如何定义的? 一个门的传播延时tp定义了它对输入端信号变化的响应有多快。 3. 集成电路的设计规则(design rule)有什么作用? ?Interface between designer and process engineer ?Guidelines for constructing process masks ?Unit dimension: Minimum line width ?scalable design rules: lambda parameter (可伸缩设计规则,其不足:只能在有限的尺寸范围内进行。) ?absolute dimensions (micron rules,用绝对尺寸来表示。) 4. 什么是MOS晶体管的体效应? 5. 写出一个NMOS晶体管处于截止区、线性区、饱和区的判断条件,以及各工作区的源漏电流表达式(考虑短沟效应即沟道长度调制效应,不考虑速度饱和效应) 注:NMOS晶体管的栅、源、漏、衬底分别用G、S、D、B表示。 6. MOS晶体管的本征电容有哪些来源? 7. 对于一个CMOS反相器的电压传输特性,请标出A、B、C三点处NMOS管和PMOS管各自处于什么工作区?

实验室常用模拟集成电路

实验室常用模拟集成电路 序号型号名称 M001 2P4M 可控硅 M002 4N35 通用光电耦合器 M003 6N135 数字逻辑隔离 M004 24C01 1K/2K 5V I2C 总线串行EEPROM M005 24LC08B 8K I2C 总线串行EEPROM M006 93C46 1K 串行EEPROM M007 AD574 12-BIT,DAC 转换器 M008 BM2272 遥控译码器 M009 CA3140E 4.5MHz,BiMOS 运算放大器 M010 TLP521 可编程控制AC/DC 输入固态继电器 M011 7805 正5V 三端稳压集成电路 M012 LM7905 负5V 三端稳压集成电路 M013 LA7806 B/W 电视机同步、偏转电路,16PIN M014 7906C 负6V 三端稳压集成电路 M015 7808A 正8V 3 端稳压器,输入35V,功率20.8W M016 7908AC 正8V 3 端稳压器,输入35V,功率12W M017 LM7809 正9V 三端稳压集成电路 M018 ADS7809 正9V 三端稳压集成电路 M019 TA7810S 0.5A,3 端稳压器 M020 TDA7910N 负10V 3 端稳压器,输入-35V,1A,功率12W M021 IRF7811A N-MOSFET,功率场效应管,28V/11.4A/2.5W M022 7812A 正12V 3 端稳压器,输入35V,功率20.8W M023 LM7912 1A 3 端稳压器 M024 AD7813 2.5V-5.5V,400kSPS,8/10-BIT,采样,ADC 转换器M025 LM7815 正15V 三端稳压集成电路 M026 LM7915 负15V1A 3 端稳压器 M027 AD7819 2.7V-5.5V,200KSPS,8-BIT,采样,ADC 转换器 M028 LA7820 彩色电视机同步/偏转电路 M029 L7920C 负20V1A 3 端稳压器 M030 LC7821 模拟开关 M031 LM7824 正24V 三端稳压集成电路 M032 KA7924 负24V1A 3 端稳压器 M033 AD7825 3Vto5V、2MSPS、1/4/8 通道、8BitAD 转换器 M034 PJ7925CZ 负25V1A 3 端稳压器 M035 ADS7826 10/8/12 位取样模拟数字转换器用2.7V 的电源 M036 IRF840 功率场效应管,大功率、高速, 500V/8A/125W M037 ADC0809 8-BIT up 兼容8 通道多路复用器A/D 转换器 M038 ADC0832 2 路,8-BIT 串行输入/输出A/D 转换多路选择 M039 LM324N 四路运算放大器 M040 LM339 低功耗低失调电压四比较器 M041 LM358 低功率双运算放大器

集成电路复习资料

第一章 1、 ⑴、什么是集成电路: 集成电路(IC)是指用半导体工艺,或薄膜、厚膜工艺把电路元器件以相互不可分离的状态制作在半导体或绝缘体基片上,然后封装在一个管壳内,构成一个完整的、具有一定功能的电路。 ⑵、集成电路分类: 1.按工艺分:半导体IC、膜IC(薄/厚膜IC)、混合IC 2.按功能分: 数字IC:能够完成数字运算,以低电平和高电平两种状态来代表二进制数中的“0”和”1”,通过各种逻辑关系进行运算,又称为逻辑IC。 模拟IC:能对电压、电流等模拟量进行放大与转换的IC。其中输出信号与输入信号成线性关系的电路,如直流放大器、差分放大器、低频放大器、高频放大器、线性功率放大器、运算放大器等称为线性IC。输出信号与输入信号不成线性关系的电路,如对数放大器、振荡器、混频器、检波器、调制器等称为非线性IC。 3.按构成IC 的有源器件结构分:双极IC、MOS IC。 双极IC:有源元件采用NPN或PNP双极晶体管,管内导电的载流子要流经P型或N 型两种极性的材料。 MOS IC:有源元件采用MOS(金属-氧化物-半导体)晶体管。 4.按集成度高低分:小规模(SSI)、中规模(MSI)、大规模(LSI)、超大规模(VLSI)。 集成度:单块晶片上或单个封装中构成的IC的所包含的最大元件数(包括有源/无源元件)。 SSI<100个元件(或10个门电路),1001000个元件以上(100个门电路以上)。VLSI>10万个(1000门以上) ⑶、集成电路遵从的定律 2、Foundry与fabless之间的的关系 3、IC设计所需要的知识范围(LVS、Lagout、Schmatic) 1) 系统知识计算机/ 通信/ 信息/ 控制学科 2) 电路知识更多的知识、技术和经验 3) 工具知识任务和内容相应的软件工具 4) 工艺知识元器件的特性和模型/工艺原理和过程 第二章 4、 ⑴、材料的分类 分类材料电导率 导体铝、金、钨、铜等105 S·cm-1 半导体硅、锗、砷化镓、磷化铟等10-9~102 S·cm-1

《超大规模集成电路设计》考试习题(含答案)完整版

1.集成电路的发展过程经历了哪些发展阶段?划分集成电路的标准是什么? 集成电路的发展过程: ?小规模集成电路(Small Scale IC,SSI) ?中规模集成电路(Medium Scale IC,MSI) ?大规模集成电路(Large Scale IC,LSI) ?超大规模集成电路(Very Large Scale IC,VLSI) ?特大规模集成电路(Ultra Large Scale IC,ULSI) ?巨大规模集成电路(Gigantic Scale IC,GSI) 划分集成电路规模的标准 2.超大规模集成电路有哪些优点? 1. 降低生产成本 VLSI减少了体积和重量等,可靠性成万倍提高,功耗成万倍减少. 2.提高工作速度 VLSI内部连线很短,缩短了延迟时间.加工的技术越来越精细.电路工作速度的提高,主要是依靠减少尺寸获得. 3. 降低功耗 芯片内部电路尺寸小,连线短,分布电容小,驱动电路所需的功率下降. 4. 简化逻辑电路 芯片内部电路受干扰小,电路可简化. 5.优越的可靠性 采用VLSI后,元件数目和外部的接触点都大为减少,可靠性得到很大提高。 6.体积小重量轻 7.缩短电子产品的设计和组装周期 一片VLSI组件可以代替大量的元器件,组装工作极大的节省,生产线被压缩,加快了生产速度. 3.简述双阱CMOS工艺制作CMOS反相器的工艺流程过程。 1、形成N阱 2、形成P阱 3、推阱 4、形成场隔离区 5、形成多晶硅栅 6、形成硅化物 7、形成N管源漏区 8、形成P管源漏区 9、形成接触孔10、形成第一层金属11、形成第一层金属12、形成穿通接触孔13、形成第二层金属14、合金15、形成钝化层16、测试、封装,完成集成电路的制造工艺 4.在VLSI设计中,对互连线的要求和可能的互连线材料是什么? 互连线的要求 低电阻值:产生的电压降最小;信号传输延时最小(RC时间常数最小化) 与器件之间的接触电阻低 长期可靠工作 可能的互连线材料 金属(低电阻率),多晶硅(中等电阻率),高掺杂区的硅(注入或扩散)(中等电阻率)

集成电路设计练习题

集成电路设计练习题2009 1、说明一个半导体集成电路成本的组成。 2、简述CMOS 工艺流程。简述CMOS 集成电路制造的过程中需要重复进行的工艺步骤。 3、描述你对集成电路工艺的认识。列举几种集成电路典型工艺。工艺上常提到0.25,0.18 指的是什么?简述CMOS 工艺技术的发展趋势。 4、你知道的集成电路设计的表达方式有哪几种? 5、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx其中,x为4位二进制整数输入信号。y 为二进制小数输出,要求保留两位小数。电源电压为3~5v 假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。 6、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识? 7、描述你对集成电路设计流程的认识。 8、集成电路前端设计流程,后端设计流程,相关的工具。 9、从RTL synthesis 到tape out 之间的设计flow ,并列出其中各步使用的tool. 10、简述FPGA 等可编程逻辑器件设计流程。 11、简述半定制数字电路的设计流程。 12、简要说明并比较数字集成电路几种不同的实现方法。 13、什么是集成电路的设计规则。 14、同步电路和异步电路的区别是什么? 15、画出CMOS 电路的晶体管级电路图,实现Y=AB+C(D+E) 16、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N 管,为什么? 17、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求? 18、名词解释:VLSI, CMOS, EDA, VHDL, DRC, LVS, DFT, STA

北京工业大学集成电路期末复习资料

填空题: 1.集成电路的加工过程主要是三个基本操作,分别是:形成某种材料的薄 膜薄层,在各种薄膜材料上形成需要的图形, 通过掺杂改变材料的电阻率或杂质类型。 2.MOS晶体管的工作原理是利用栅极与衬底之间形成的电场,在半导体 表面形成反型层,使源、漏之间形成导电沟道。 3.用CMOS电路设计静态数字逻辑电路,如果设计与非逻辑下拉支路应该是 串联,如果设计或非逻辑下拉支路应该是并联。 4.MOS存储器主要分为两大类,分别是:随机存储器(RAM)和只读存储 器(ROM)。 5.CMOS集成电路是利用 NMOS 和 PMOS 的互补性来改善电路性能的, 因此叫做CMOS集成电路。在P型衬底上用 n 阱工艺制作 CMOS 集 成电路。 6.1947年巴丁、肖克莱、布拉克发明了半 导体晶体管,并因此获得了1956年的诺贝尔物理学奖,1958年美国德州仪器公司的杰克基尔比发明了第一块集成电路,并获得2000年诺贝尔 物理学奖。 7.CMOS逻辑电路的功耗由三部分组成:动态功耗、静态功耗、开 关过程中的短路功耗。 8.静态CMOS逻辑电路中,一般PMOS管的衬底接电源电压,NOMS管的 衬底接地电压;NMOS下拉网络的构成规律是:NMOS管串联实现与操作;NMOS管并联实现或操作;PMOS上拉网络则是按对偶原则构 成,即PMOS管串联实现或操作;PMOS管并联实现与操作。 9.集成电路中非易失存储器包括即:不可擦除ROM 、 EPROM 、 E~2PROM 。 10.等比例缩小理论包括恒定电场等比例缩小定律、恒定电压等比例缩 小定律、准恒定电场等比例缩小定律。 11.集成电路产业按照职能划分为设计、制造、封装三 业。 12.CMOS逻辑电路的功耗由三部分组成:动态功耗Pd、开关过程中 的短路功耗PSC、静态功耗Ps。 13.时序电路的输出不仅与当前的输入有关,还与系统原来 的状态有关。 判断题: 1.N阱CMOS工艺是指在N阱中加工NMOS的工艺。---------() 2.非易失存储器就是只能写入,不能擦除的存储器。-----() 3.用二极管在电路中防止静电损伤就是利用二极管的正向导电性能。() 4.DRAM在存储的过程中需要刷新以保持所存储的值。-----------() 5.MOS晶体管与BJT晶体管一样,有三个电极。----------------() 6.为保证沟道长度相同的PMOS管和NMOS等效导电因子相同,PMOS管的沟道宽 度一般比NMOS管的大。--------------------------------------()

模拟集成电路设计的九个层次

[转贴] 模拟集成电路设计的九个层次来源: 一篇好文章, 摘录于此,以示激励. 一段 你刚开始进入这行,对PMOS/NMOS/BJT什么的只不过有个大概的了解,各种器件的特性你也不太清楚,具体设计成什么样的电路你也没什么主意,你的电路图主要看国内杂志上的文章,或者按照教科书上现成的电路,你总觉得他们说得都有道理。你做的电路主要是小规模的模块,做点差分运放,或者带隙基准的仿真什么的你就计算着发文章,生怕到时候论文凑不够。总的来说,基本上看见运放还是发怵。你觉得spice 是一个非常难以使用而且古怪的东西。 二段 你开始知道什么叫电路设计,天天捧着本教科书在草稿纸上狂算一气。你也经常开始提起一些技术参数,Vdsat、lamda、early voltage、GWB、ft之类的。总觉得有时候电路和手算得差不多,有时候又觉得差别挺大。你也开始关心电压,温度和工艺的变化。例如低电压、低功耗系统什么的。或者是超高速高精度的什么东东,时不时也来上两句。你设计电路时开始计划着要去tape out,虽然tape out看起来还是挺遥远的。这个阶段中,你觉得spice很强大,但经常会因为AC仿真结果不对而大伤脑筋。 三段 你已经和PVT斗争了一段时间了,但总的来说基本上还是没有几次成功的设计经验。你觉得要设计出真正能用的电路真的很难,你急着想建立自己的信心,可你不知道该怎么办。你开始阅读一些JSSC或者博士论文什么的,可你觉得他们说的是一回事,真正的芯片或者又不是那么回事。你觉得Vdsat什么的指标实在不够精确,仿真器的缺省设置也不够满足你的要求,于是你试着仿真器调整参数,或者试着换一换仿真器,但是可它们给出的结果仍然是有时准有时不准。你上论坛,希望得到高手的指导。可他们也是语焉不详,说得东西有时对有时不对。这个阶段中,你觉得spice虽然很好,但是帮助手册写的太不清楚了。 四段 你有过比较重大的流片失败经历了。你知道要做好一个电路,需要精益求精,需要战战兢兢的仔细检查每一个细节。你发现在设计过程中有很多不曾设想过的问题,想要做好电路需要完整的把握每一个方面。于是你开始系统地重新学习在大学毕业时已经卖掉的课本。你把能能找到的相关资料都仔细的看了一边,希望能从中找到一些更有启发性的想法。你已经清楚地知道了你需要达到的电路指标和性能,你也知道了电路设计本质上是需要做很多合理的折中。可你搞不清这个“合理”是怎么确定的,不同指标之间的折中如何选择才好。你觉得要设计出一个适当的能够正常工作的电路真的太难了,你不相信在这个世界上有人可以做到他们宣称的那么好,因为聪明如你都觉得面对如此纷杂的选择束手无策,他们怎么可能做得到?这个阶段中,你觉得spice功能还是太有限了,而且经常对着"time step too small"的出错信息发呆,偶尔情况下你还会创造出巨大的仿真文件让所有人和电脑崩溃。 五段 你觉得很多竞争对手的东西不过如此而已。你开始有一套比较熟悉的设计方法。但是你不知道如何更加优化你手头的工具。你已经使用过一些别人编好的脚本语言,但经常碰到很多问题的时候不能想起来用awk 或者perl搞定。你开始大量的占用服务器的仿真时间,你相信经过大量的仿真,你可以清楚地把你设计的模块调整到合适的样子。有时候你觉得做电路设计简直是太无聊了,实在不行的话,你在考虑是不是该放弃了。这个阶段中,你觉得spice好是好,但是比起fast spice系列的仿真器来,还是差远了;你开始不相信AC仿真,取而代之的是大量的transient仿真。 六段 你开始明白在这个世界中只有最合适的设计,没有最好的设计。你开始有一套真正属于自己的设计方法,你会倾向于某一种或两种仿真工具,并能够熟练的使用他们评价你的设计。你开始在设计中考虑PVT的变化,你知道一个电路从开始到现在的演化过程,并能够针对不同的应用对他们进行裁减。你开始关注功耗

集成电路版图复习课答案总结(最终版)

1、描述集成电路工艺技术水平的五个技术指标及其物理含义 ⑴集成度(Integration Level):以一个IC芯片所包含的元件(晶 体管或门/数)来衡量,(包括有源和无源元件)。 ⑵特征尺寸 (Feature Size) /(Critical Dimension):特征尺 寸定义为器件中最小线条宽度(对MOS器件而言,通常指器件栅电极 所决定的沟道几何长度),也可定义为最小线条宽度与线条间距之和 的一半。 ⑶晶片直径(Wafer Diameter):当前的主流晶圆的尺寸为12吋(300mm),正在向18吋(450mm)晶圆迈进。 ⑷芯片面积(Chip Area):随着集成度的提高,每芯片所包含的晶 体管数不断增多,平均芯片面积也随之增大。 ⑸封装(Package):指把硅片上的电路管脚,用导线接引到外部 接头处,以便于其它器件连接。封装形式是指安装半导体集成电路芯 片用的外壳。 2、简述集成电路发展的摩尔定律。 2集成电路芯片的集成度每三年提高4倍,而加工特征尺寸缩小倍,这就是摩尔定律。当价格不变时,集成电路上可容纳的晶体管数目,约每隔18个月便会增加一倍,性能也将提升一倍 3、集成电路常用的材料有哪些? 集成电路中常用的材料有三类:半导体材料,如Si、Ge、GaAs 以 及InP 等;绝缘体材料,如SiO2、SiON 和Si3N4 等;金属材料, 如铝、金、钨以及铜等。

4、集成电路按工艺器件类型和结构形式分为哪几类,各有什么特点。 双极集成电路:主要由双极晶体管构成(NPN型双极集成电路、PNP型双极集成电路)。优点是速度高、驱动能力强,缺点是功耗较大、集成度较低。 CMOS集成电路:主要由NMOS、PMOS构成CMOS电路,功耗低、集成度高,随着特征尺寸的缩小,速度也可以很高。 BiCMOS集成电路:同时包括双极和CMOS晶体管的集成电路为BiCMOS集成电路,综合了双极和CMOS器件两者的优点,但制作工艺复杂。 5、解释基本概念: 微电子、集成电路、集成度、场区、有源区、阱、外延 微电子:微电子技术是随着集成电路,尤其是超大型规模集成电路而发展起来的一门新的技术。微电子技术包括系统电路设计、器件物理、工艺技术、材料制备、自动测试以及封装、组装等一系列专门的技术,微电子技术是微电子学中的各项工艺技术的总和。微电子学是研究在固体(主要是半导体)材料上构成的微小型化电路、电路及微电子系统的电子学分支。 集成电路:通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体单晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能。 集成度:集成电路的集成度是指单块芯片上所容纳的元件数目。

相关文档
相关文档 最新文档