文档库 最新最全的文档下载
当前位置:文档库 › DDS信号发生器实验报告

DDS信号发生器实验报告

DDS信号发生器实验报告
DDS信号发生器实验报告

DDS信号发生器

一、实验目的:

学习利用EDA技术和FPGA实现直接数字频率综合器DDS的设计。

二、实验原理

实验原理参考教材6.4节和6.11节相关内容。

三、实验内容

1、实验原理参考教材6.4节相关内容。根据6.4.2节和例6-10,在Quartus II上

完成简易正弦信号发生器设计,进行编辑、编译、综合、适配、仿真;

2、使用SignalTap II测试;

3、硬件测试:进行引脚锁定及硬件测试。信号输出的D/A使用DAC0832,注意

其转换速率是1μs。下载到实验系统上,接上D/A模块,用示波器测试输出波形;

4、按照教材图6-72完成DDS信号发生器设计,进行编辑、编译、综合、适配、仿真,引脚锁定及硬件测试。

5、建立.mif格式文件。

四、实验步骤

1、建立.mif文件:

(1)设定全局参数:

(2)设定波形:

(3)文件保存:

2、新建工程:

3、LPM—ROM定制:(1)

(2)

(4)

(5)

(7)sinrom源程序:

module SIN_CNT(RST,CLK,EN,Q,AR); output [7:0] Q;

input [6:0] AR;

input EN,CLK,RST;

wire [6:0] TMP;

reg[6:0] Q1;

reg[7:0] F;

reg C;

always @(posedge CLK)

if(F

else

begin

F=8'b00;

C=~C;

end

always @(posedge CLK or negedge RST)

if(!RST) Q1<=7'b0000000;

else if(EN) Q1<=Q1+1;

else Q1<=Q1;

assign TMP=Q1;

sinrom IC1(.address(TMP),.clock(CLK),.q(Q)); endmodule

4、锁相环:

5、顶层文件:

6、SignalTap II的使用

7、锁定引脚

8、下载

基于FPGA的DDS设计

一、实验名称:基于F P G A的D D S信号源设计 二、技术规范: 1.实验目标: 设计一个直接数字频率合成(DDS,Direct Digital Synthesis),DDS是一种新型的频率合成技术。DDS 技术是一种把一系列数字形式的信号通过DAC 转换成模拟信号的合成技术。 DDS 技术具有频率切换时间短,频率分辨率高,频率稳定度高,输出信号的频率和相位可以快速切换,输出相位可连续,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数字控制。它在相对带宽、频率转换时间、相位连续性、高分辨率以及集成化等一系列性能指标方面远远超过了传统频率合成技术。 因此在现代电子系统及设备的频率源设计中,尤其在通信领域,直接数字频率合成器的应用越来越广泛。 2.实现功能: 本实验最后将设计出一个具有频率控制和相位移控制功能的DDS。 3.引脚: 本实验有三个输入端口,8位的频率控制字端口,分别接8个开关按键,8位的相位控制字端口,分别接另外的8个开关按键,系统时钟输入端口;一个8位输出端口,接D/A的输入端口。FPGA板上的时钟频率为50MHz,本实验将其10分频后得到5MHz再使用。 三.总体设计方案; 原理: 实验采用目前使用最广泛的一种DDS 方式是利用高速存储器作查找表,然后通过高速DAC 输出已经用数字形式存储的波形。 图1:DDS 系统的基本原理图 图1中虚方框部分是DDS 的核心单元,它可以采用CPLD/FPGA 来实现。图中的相位累加器由N位全加器和N位累加寄存器级联而成,可对频率控制字的二进制码进行累加运算,是典型的反馈电路。 频率控制字M和相位控制字分别控制DDS 输出正(余)弦波的频率和相位。每来一个时钟脉冲,相位寄存器以步长M递增。相位寄存器的输出与相位控制字相加,其结果作为正(余)弦查找表的地址。正(余)弦查找表的数据存放在ROM中,内部存有一个周期的正弦波信号的数字幅度信息,每个查找表的地址对应于正弦波中0°~360°范围内的一个相位点。查找表把输入的址信息映射成正(余)弦波的数字幅度信号,同时输出到数模转换器DAC 的输入端,DAC输出的模拟信号经过低通滤波器(LPF),可得到一个频谱纯净的正(余)弦波。 DDS 具体工作过程如下:每来一个时钟脉冲clk,N 位全加器将频率控制数据M 与累加寄存器输出的累加相位数据N 相加,把相加后的结果送至累加寄存器的输入端。累加寄存器一方面将上一时钟周期作用后所产生的新的数据反馈到加法器的输入端,以使加法器在下一时钟的作用下继续与频率控制数据M 相加;另一方

DDS实验报告

南京理工大学电类综合实验 实验报告 作者: 徐伟伟学号:314101002254 学院(系):机械工程 专业: 机械制造及其自动化 题目: 直接数字频率合成器(DDS) 指导老师:花汉兵 2015年6月

摘要:本文介绍了直接数字式频率合成器(DDS)的设计以及其附加功能的拓展,主要包括了频率控制、加法电路、相位控制、测频电路、译码显示、输出多种波形(包括正余弦、三角波、锯齿波、方波梯形波)、D/A转换等模块。文中详细说明了实验原理,并用Quartus II 软件对各模块进行电路设计,最后在SmartSOPC 实验箱上演示得到了预期的实验结果。 关键词:DDS 实验原理电路设计Quartus II SmartSOPC Abstract:This paper introduces the design of Direct Digital Frequency Synthesizer (DDS) and its additional function, mainly including the frequency control, add circuit, the phase control, frequency measuring circuit, decoding display and the output of a variety of waveform (including cosine, triangular wave, sawtooth wave and square wave), D/A conversion etc. In this paper, the experimental principle is described in detail, and the circuits of each module are designed with the use of Quartus II software. Finally, the desired results are presented on the SmartSOPC experiment box. Key words: DDS, experiment principle, circuit design, Quartus II, SmartSOPC

模拟信号源实验报告

实验1 模拟信号源实验 一、实验目的 1.了解本模块中函数信号产生芯片的技术参数; 2.了解本模块在后续实验系统中的作用; 3.熟悉本模块产生的几种模拟信号的波形和参数调节方法。 二、实验仪器 1.时钟与基带数据发生模块,位号:G 2.频率计1 台 3.20M 双踪示波器1 台 4.小电话单机1 部 三、实验原理 本模块主要功能是产生频率、幅度连续可调的正弦波、三角波、方波等函数信号(非同步函数信号),另外还提供与系统主时钟同源的2KHZ 正弦波信号(同步正弦波信号)和模拟电话接口。在实验系统中,可利用它定性地观察通信话路的频率特性,同时用做PAM、PCM、ADPCM、CVSD(Δ M)等实验的音频信号源。本模块位于底板的左边。 1.非同步函数信号 它由集成函数发生器XR2206 和一些外围电路组成,XR2206 芯片的技术资料可到网上搜索得到。函数信号类型由三档开关K01 选择,类型分别为三角波、正弦波、方波等;峰峰值幅度范围0~10V,可由W03调节;频率范围约500HZ~5KHZ,可由W02 调节;直流电平可由W01 调节(一般左旋到底)。非同步函数信号源结构示意图,见图2-1。 2.同步正弦波信号 它由2KHz 方波信号源、低通滤波器和输出放大电路三部分组成。2KHz 方波信号由“时钟与基带数据发生模块”分频产生。U03 及周边的阻容网络组成一个截止频率为2KHZ 的低通滤波器,用以滤除各次谐波,只输出一个2KHz 正弦波,在P04 可测试其波形。用其作为PAM、PCM、ADPCM、CVSD(Δ M)等模块的音频信号源,其编码数据可在普通模拟示波器上形成稳定的波形,便于实验者观测。W04 用来改变输出同步正弦波的幅度。同步信号源结构示意图,见图2-2。

信号发生器实验报告

低频电路课程设计 OCL 功率放大器设计 学院名称: 电气信息工程学院 专 业: 测控技术与仪器 班 级: 08测控1班 姓 名: 朱彬彬 学 号: 08314105 指导老师: 王云松 2010年 6 月20 日 JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 电气信息工程学院

1设计课题:OCL功率放大器 为了保证功率,效率和失真三个指标满足一定的要求,早期的功率放大器多采用变压耦合。这种电路变压器体积大,比较笨重,耗损多,而且高频和低频部分频响特性不好,在引入负反馈时,很容易自激。随着电子技术的发展,后来被无输出变压器的功率放大电路(OTL)代替。在OTL电路中,虽去掉了变压器,但为了能用但电源供电,输出端接了一个大电容,这个大电容影响了电路的低频特性,于是出现了OCL电路。 OCL功放是在OTL功放的基础上发展起来的,它比OTL功放的频带更宽,保真度更高。OCL功放是一种直接耦合的多级放大器,它运用了许多电子器件,包含了多种基本电路形式。 OCL功率放大器采用两组电源供电,使用了正负电源,在电压不太高的情况下,也能获得较大的输出功率,省去了输出端的耦合电容,使放大器低频特性得到扩展,OCL功放电路也是定压式输出电路,其电路由于性能比较好,所以广泛的应用于高保真扩音设备中。 2 主要技术指标 最大不失真输出功率:Pom≥8w 负载阻抗(扬声器):R L=10Ω 频率响应:f=50Hz~20kHz 非线性失真系数:γ≤功率放大器1% 输入灵敏度:Vi≤300mv 稳定性:电源升高和降低20%时,输出零点漂移≤100mv 3实验用仪器: 直流稳压电源一台 低频信号发生器一台 低频毫伏表一台 示波器一台 万用表一台 晶体管图示仪一台 失真度测量仪一台 4电路原理 OC L功率放大器时一种直接耦合的多级放大器,总体可分为三个部分

DDS信号发生器电路设计

1. 信号产生部分 1.1 频率控制字输入模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity ddsinput is port(a,b,c,clk,clr:in std_logic; q1,q2,q3,q4,q5:buffer unsigned(3 downto 0)); end ddsinput; architecture a of ddsinput is signal q:std_logic_vector(2 downto 0); begin q<=c&b&a; process(cp,q,clr) begin if clr='1'then q1<="0000";q2<="0000";q3<="0000";q4<="0000";q5<="0000"; elsif clk 'event and clk='1'then

DDS信号信号发生器电路设计 case q is when"001"=>q1<=q1+1; when"010"=>q2<=q2+1; when"011"=>q3<=q3+1; when"100"=>q4<=q4+1; when"101"=>q5<=q5+1; when others=>NULL; end case; end if; end process; end a; 1.2 相位累加器模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity xiangwei is port(m:in std_logic_vector(19 downto 0); clk,clr:in std_logic; data:out std_logic_vector(23 downto 0)); end xiangwei; architecture a of xiangwei is signal q:std_logic_vector(23 downto 0); begin process(clr,clk,m,q) begin if clr='1'then q<="000000000000000000000000"; elsif (clk'event and clk='1')then q<=q+m; end if; data<=q; end process; end a;

机械设计实验报告

前言 一、实验课目的 本课程实验课目的在于:验证、巩固和加深课堂讲授的基本理论,加强理论联系实际及独立工作能力的培养;掌握一些最基本的机械实验方法、测量技能及用实验法来测定一些机械参数的能力;以及培养学生踏实细致、严肃认真的科学作风。因此,实验课是一个不可缺少的重要环节,每个学生必须认真对待,在课前进行预习,在课后分析试验结果,写成正规的实验报告。实验课为评定学生成绩的一部分。 二、实验前的准备工作 为了保证实验顺利进行,要求在实验前做好准备工作,教师在实验前要进行检查和提问,如发现有不合格者,提出批评,甚至停止实验的进行,实验准备工作包括下列几方面内容: 1.预习好实验指导书:明确实验的目的及要求;搞懂实验的原理;了解实验进行的步骤及主要事项,做到心中有底。 2.准备好实验指导书中规定自带的工具、纸张。 3.准备好实验数据记录表格。表格应记录些什么数据自拟。 三、遵守实验室的规章制度 1.验前必须了解实验设备、仪器的使用性能、操作规程及使用须知,否则不得操作。 2.严格按照规定,精心操作设备、仪器。 3.实验室内与本实验无关的设备与仪器,一律不得乱动。 4.在实验室严守纪律,不得高声谈笑,保持室内整洁。 5.实验完毕后,用过设备、仪器放回原处,并整理清洁、经教师同意后才得离开。 四、实验报告 实验报告是对实验所有数据、现象进行整理,分析得出一定结论与看法的书面文件。学生在实验后必须按照要求,整理并分析处理所的结果,写成正规的实验报告。 为了写好实验报告,提出以下几点: 1.实验结果记录应经实验指导教师过目签字,并随实验报告一起交上。 2.报告中的结果分析及讨论应力求具体,应针对试验具体情况,防止不切实际的空谈。 3.实验报告要求每人一份。 4.实验报告应在实验完毕后一星期内,由班委汇集交老师。 吉林大学珠海学院 机械工程学院 2018年9月10日

dds实验报告

南京理工大学 电子线路课程设计 --------直接数字频率合成器 学生姓名:林晓峰学号:912104220143 专业:通信工程 指导教师:谭雪琴 2014年12月18日

摘要: 本次实验利用QuartusII7.0软件并采用DDS技术、FPGA芯片和D/A转换器,设计了一个直接数字频率信号合成器,具有频率控制、相位控制、测频、显示多种波形等功能。 并利用QuartusII7.0软件对电路进行了详细的仿真,同时通过SMART SOPC实验箱和示波器对电路的实验结果进行验证。Abstract: This experient introduces using QuartusII7.0software, DDS technology,FPGA chip and D/A converter to design a multi—output waveform signal generator in which the frequency and phase are controllable and test frequency,display waveform. It also make the use of software QuartusII7.0 a detailed circuit simulation, and verify the circuit experimental results through SMART SOPC experiment box and the oscilloscope. 关键词:QuartusII7.0 数字频率信号合成器频率控制相位控制测频示波器 SMART SOPC实验箱 Keywords:QuartusII7.0 multi—output waveform signal- generator frequency controllable phase controllable test frequency oscilloscope Smart SOPC box

数字信号源实验报告

实验一数字信号源实验 一、实验目的 1、了解单极性码、双极性码、归零码、不归零码等基带信号波形特点。 2、掌握集中插入帧同步码时分复用信号的帧结构特点。 3、掌握数字信号源电路组成原理。 二、实验内容 1、用示波器观察单极性非归零码(NRZ)、帧同步信号(FS)、位同步时钟(BS)。 2、用示波器观察NRZ、FS、BS三信号的对应关系。 3、学习电路原理图。 三、基本原理 本模块是实验系统中数字信号源,即发送端,其原理方框图如图1-1所示。本单元产生NRZ信号,信号码速率约为170.5KB,帧结构如图1-2所示。帧长为24位,其中首位无定义,第2位到第8位是帧同步码(7位巴克码1110010),另外16位为2路数据信号,每路8位。此NRZ信号为集中插入帧同步码时分复用信号。发光二极管亮状态表示‘1’码,熄状态表示‘0’码。 本模块有以下测试点及输入输出点: ? CLK-OUT 时钟信号测试点,输出信号频率为4.433619MHz ? BS-OUT 信源位同步信号输出点/测试点,频率为170.5KHz ? FS 信源帧同步信号输出点/测试点,频率为7.1KHz ? NRZ-OUT NRZ信号输出点/测试点 图1-3为数字信源模块的电原理图。图1-1中各单元与图1-3中的元器件对应关系如下: ?晶振CRY:晶体;U1:反相器7404 ?分频器US2:计数器74161;US3:计数器74193; US4:计数器40160 ?并行码产生器KS1、KS2、KS3:8位手动开关,从左到右依次与帧同步码、数据1、数据2相对应;发光二极管左起分别与一帧中的24位代码相对应 ?八选一US5、US6、US7:8位数据选择器4512 ?三选一US8:8位数据选择器4512 ?倒相器US10:非门74HC04 ?抽样US9:D触发器74HC74

函数信号发生器实训报告

电子与信息工程 综合实验课程报告 实验名称:基于单片机的信号发生器的设计与实现班级:电子1班 组员:徐丹许艳徐梅 指导教师:张辉 时间:2013-6-8至2011-6-16

目录 前言......................................................................... 错误!未定义书签。 1 波形发生器概述 (2) 1.1波形发生器的发展状况 (2) 1.2国内外波形发生器产品比较 (3) 2 方案论证与比较 (4) 2.1 方案一 (4) 2.2 方案二 (5) 2.3 方案三 (5) 3 硬件原理 (5) 3.1 MCS-51单片机的内部结构 (6) 3.1.1 内部结构概述 (6) 3.1.2 CPU结构 (6) 3.1.3 存储器和特殊功能寄存器 (7) 3.2 P0-P3口结构 (7) 3.3 时钟电路和复位电路 (8) 3.3.1时钟电路 (8) 3.3.2单片机的复位状态 (9) 3.4 DAC0832的引脚及功能 (10) 4 软件原理 (11) 4.1 主流程图 (12) 4.1.1 方波仿真图 (13) 4.1.2 三角波仿真图 (14) 4.1.3 锯齿波仿真图 (15) 4.1.4 梯形波仿真图 (16) 4.1.5 正弦波仿真图 (17) 4.2附录:实物图 (17) 总结 (18) 致谢 (19) 参考文献 (19)

1 波形发生器概述 在电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域,经常需要用到各种各样的信号波形发生器。随着集成电路的迅速发展,用集成电路可很方便地构成各种信号波形发生器。用集成电路实现的信号波形发生器与其它信号波形发生器相比,其波形质量、幅度和频率稳定性等性能指标,都有了很大的提高。 1.1波形发生器的发展状况 波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。 在70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。 在70 年代后,微处理器的出现,可以利用处理器、A/D/和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。 90 年代末,出现几种真正高性能、高价格的函数发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。HP8770A实际上也只能产生8 中波形,而且价格昂贵。不久以后,Analogic公司推出了型号为Data-2020的多波形合成器,Lecr oy 公司生产的型号为9100 的任意波形发生器等。 到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz 的DDS 芯片,同时也推动了函数波形发生器的发展,2003 年,Agilent 的产品33220A能够产生17 种波形,最高频率可达到20M,2005 年的产品N6030A 能够产生高达500MHz 的频率,采样的频率可达1.25GHz。由上面的产品可以看出,函数波形发生器发展很快近几年来,国际上波形发生器技术发展主要体现在以下几个方面:

典型机构认知实验报告

竭诚为您提供优质文档/双击可除典型机构认知实验报告 篇一:实验一典型机构认识与分析实验 实验九凸轮机构运动分析实验 一、实验目的: 1、熟悉掌握理论与实践相结合的学习方式; 2、培养动手能力和创新意识,培养对现代虚拟设计和现代测试手段的灵活运用能力; 3、通过实测和软件仿真了解不同运动规律的盘形凸轮的运动,了解圆柱凸轮的运动; 4、掌握凸轮廓线的测试方法; 5、通过实测曲线和仿真曲线的对比,分析两者之间差异的原因。 二、JTJs-Ⅲ实验台简介: 1、结构组成 1-安装底座2-凸轮支座3-同步带轮4-同步带5-电机支座6-步进电机 7-齿轮齿条支座8-尖顶从动件9-导轨10-被测凸轮

(盘形)11-圆柱凸轮 12-轴承座13-齿条14-小齿轮15-齿轮支架16-角位移传感器 图1JTJs-Ⅲ实验台结构组成 2、主要技术参数 1)凸轮原始参数: 盘形凸轮机构 1#凸轮:等速运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、远休止角Фs=60o、回程转角Ф,=1620; 凸轮质量m1=0.765㎏。 2#凸轮:等加速等减速运动规律 凸轮基圆半径ro=40mm;尖顶从动件。 推杆升程h=15mm; 推程转角Ф=180o、回程转角Ф,=180o; 凸轮质量m1=0.765㎏。 3#凸轮:3-4-5多项式运动规律 凸轮基圆半径ro=40mm;从动件滚子半径rt=7.5mm; 推杆升程h=15mm; 推程转角Ф=180o、回程转角Ф,=180o;

凸轮质量m1=0.852㎏。 4#凸轮:余弦加速度运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=180o、回程转角Ф,=180o;凸轮质量m1=0.768㎏。 5#凸轮:正弦加速度运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、远休止角Фs=30o、 回程转角Ф,=150o、近休止角Ф,s=30o;凸轮质量m1=0.768㎏。 6#凸轮:改进等速运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、远休止角Фs=30o、 回程转角Ф,=150o、近休止角Ф,s=30o;凸轮质量m1=0.768㎏。 7#凸轮:改进正弦运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、

DDS报告

南京理工大学 电子线路设计 ——直接数字频率合成器(DDS)设计 实验报告 作者: 沈爱松学号:912104220234 学院(系):电子工程与光电技术学院 专业: 电子信息工程 实验日期: 11月21—11月28日 2014 年 11 月

摘要 本篇报告主要介绍了用EDA设计完成直接数字频率合成器DDS的过程。该直接数字频率合成器输出的频率及相位均可控制,且能输出正弦、余弦、三角波、锯齿波、方波五种波形,经过转换之后还能在示波器上显示,在控制电路的作用下能实现保持、清零功能,另外还能同时显示输出频率、相位控制字、频率控制字。设计中充分考虑了正余弦函数的特点进行了优化设计,提高了计算精度。并且加入了频率计功能。本设计利用QuartusII 软件进行DDS的设计,最后下载到SmartSOPC实验系统中进行硬件测试。 关键词 QuartusⅡ DDS ROM 测频 AM调制 Abstract This report introduces the EDA design is completed with Direct Digital Synthesis DDS process. The direct digital frequency synthesis of the output frequency and phase can control, and can output sine, cosine, triangle wave, sawtooth, square waveform five, after conversion after also displayed on the oscilloscope, in the role of the control circuit can be Implementation maintained cleared function, and also shows the output frequency, phase control characters, frequency control word.A brand-new algorithm was brought forward considering the symmetry characteristic of sine function, and then applied to optimizing the system which result in the improvement on calculation precision.Already designed according to the audio, will produce A spurious signal and carrier signal AM modulation This design uses DDS QuartusII software design, the final download SmartSOPC experimental system hardware testing. Keywords QuartusⅡ DDS ROM frequencu measuring AM modulation 目录

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

模电函数信号发生器实验报告

电子电路模拟综合实验 2009211120 班 09210580(07)号 桂柯易

实验1 函数信号发生器的设计与调测 摘要 使用运放组成的积分电路产生一定频率和周期的三角波、方波(提高要求中通过改变积分电路两段的积分常数从而产生锯齿波电压,同时改变方波的占空比),将三角波信号接入下级差动放大电路(电流镜提供工作电流),利用三极管线性区及饱和区的放大特性产生正弦波电压并输出。 关键词 运放积分电路差动发达电路镜像电流源 实验内容 1、基本要求: a)设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器。 1)输出频率能在1-10KHz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; 3)三角波Uopp=8V; 4)正弦波Uopp>1V。 b)设计该电路的电源电路(不要求实际搭建),用PROTEL软件绘制完整的 电路原理图(SCH) 2、提高要求: a)三种输出波形的峰峰值Uopp均可在1V-10V范围内连续可调。 b)三种输出波形的输出阻抗小于100欧。 c)用PROTEL软件绘制完整的印制电路板图(PCB)。 设计思路、总体结构框图 分段设计,首先产生方波-三角波,再与差动放大电路相连。 分块电路和总体电路的设计(1)方波-三角波产生电路: 正弦波产生电路三角波产生电路 方波产生电路

首先,稳压管采用既定原件2DW232,保证了输出方波电压Uo1的峰峰值为12V,基本要求三角波输出电压峰峰值为8V,考虑到平衡电阻R3的取值问题,且要保证R1/Rf=2/3,计算决定令Rf=12K,R1=8K,R3=5K。又由方波的上升、下降沿要求,第一级运放采用转换速度很快的LM318,Ro为输出限流电阻,不宜太大,最后采用1K欧电阻。二级运放对转换速度要求不是很高,故采用UA741。考虑到电容C1不宜过小,不然误差可能较大,故C1=0.1uF,最后根据公式,Rw抽头位于中点时R2的值约为300欧,进而确定平衡电阻R4的阻值。考虑到电路的安全问题,在滑阻的接地端串接了一个1K的电阻。(注:实际调测时因为滑阻转动不太方便,所以通过不断换滑阻的方式确定适当频率要求下Rw的阻值,我的电路最后使用的是1K欧的滑阻) (2)正弦波产生电路:

详细设计方案_DDS

DDS详细设计方案 1.DDS简介: DDS同DSP(数字信号处理)一样,是一项关键的数字化技术。DDS 是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。 一块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 2.DDS规格: Xxx 3.实现原理 DDS的核心部分是相位累加器,相位累加器有一个累加器和相位寄存器组成,它的作用是再基准时钟源的作用下进行线性累加,当产生溢出时便完成一个周期,即DDS的一个频率周期。其中频率字的位宽为K位,作为累加器的一个输入,累加器的另一个输入端位宽为N位(N>K),每来一个时钟,频率字与累加器的另一个输入相加的结果存入相位寄存器,再反馈给累加器,这相当于每来一个时钟,相位寄存器的输出就累加一次,累加的时间间隔为频率字的时间,输入加法器的位宽为(N-K)位,它与同样宽度的相位控制字相加形成新的相位,并以此作为查找表的地址。每当累加器的值溢出一次,输入加法器的值就加一,相应的,作为查找表的地址就加一,而查找表的地址中保存波形的幅度值,这些离散的幅度值经DAC和PLF便课还原为模拟波形。

DDS信号发生器 实验报告

H a r b i n I n s t i t u t e o f T e c h n o l o g y EDA技术高级应用 实验报告 姓名:禾小鬼 同组人: 学号:16S 班级:信息2班 指导教师:xxx 院系:电信学院

实验一函数信号发生器 一、实验内容 实验内容包括下面两个方面 1.熟悉quartus ii开发环境 第一次接触quartus ii开发环境,首先可以通过新建一个工程熟悉quartus ii的各种基本操作。需要学习的包括以下几个方面:选器件,采用原理图方法画一个电路图实现某种功能,并对这个功能进行行为仿真以验证功能上的正确性。 2.设计一个函数信号发生器 在开始之前,首先要明确设计目的,我们的想要用电路图方法实现设计一个“函数信号发生器”。然后,可以先根据自己的思路想好一个电路图的设计方案,再开始实验。 二实验结果 1.第一步:建立一个新的工程 新建工程的过程中,最重要的是设置器件,不同的器件的设计之间并不兼容。会有一个综合的信息框,注明了我所做的设置,看看没问题就可以了。然后新建一个原理图文件schematic,作为顶层文件,将顶层文件命名为DDS在上面进行画图。 2.第二步:画电路图 本次实验采用软件自带的器件库MegaWizard Plug-in Manager中的器件。自定义3个ROM,并将ROM表中存储事先准备好的三种波形的数据文件,波形数据文件由matlab产生,ROM中存储8bit-32words的数据,包括一个时钟输入,一个5位地址输入和一个7位输出;还需要一个5位计数器,用以输出读取ROM 的地址;一个时钟控制整个电路工作; 我画的电路图,如图1所示。其原理为:三个ROM表存储三种波形数据,整个电路通过时钟控制,时钟每翻转一次,计数器加一,产生一个地址,输入到

函数信号发生器实验报告

北京邮电大学 电子电路综合设计实验报告 课题名称:函数信号发生器的设计和调试 院系:信息与通信工程学院 班级: 2012211113 姓名:李鸣野 学号:2012210362 班内序号:01 摘要 函数(波形)信号发生器能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。方波-三角波产生电路主要有运放组成,其中由施密特触发器多谐振荡器产生方波,积分电路将方波转化为三角波,差分电路实现三角波-正弦波的变换。该电路振荡频率由第一个电位器调节,输出方波幅度的大小由稳压管的稳压值决定;正弦波幅度和电路的对称性分别由后两个电位器调节。

关键词:方波,三角波,正弦波 基本要求: a)设计一个设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器 1)输出频率能在1-10khz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%--70%; 3)三角波Uopp=8V; 4)正弦波Uopp≥1V。 b)用PROTEL软件绘制完整的电路原理图(SCH) 设计思路: 要产生方波,需要用稳压管和比较器组成方波产生电路。稳压管为实验提供的6v稳压管。方波经过RC积分电路积分得到三角波,幅度为Uo2m=±(UZ+UD),由R1和Rf的比值及稳压管的稳压值决定,实验要求三角波峰峰值为8v,故根据公式推导后,选用20K的电阻作为R1,30K的电阻作为Rf。R3为12K。R4为直流平衡电阻,应与R2保持一致,均为5K。R0为限流电阻,根据实验要求选用2K。 三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。R e取阻值为100Ω,C1、C2、C4为隔直流电容,取C1=C2=C3=33uF。Rp1调节三角波

机构创新设计实验报告

机构创新设计实验报告 实验课程名称:机械原理 学院:机电学院 专业班级:10机械设计制造及其自动化 学号:101401010124 学生姓名:舒展 2012年6月28日机构创新设计实验报告

一、实验目的 1.通过实际机构的应用设计和搭接加深对不同机构运动特性的 理解; 2.通过对典型机构的组装,掌握活动连接、固定连接的结构和特 点;了解实际机构与机构简图的不同处,避免设计时出现运动 的干涉。 3.通过现场操作,培养实际动手和现场应变能力。 4.通过实验的多方案设计培养发散思维和创新设计能力。 二、实验设备 ZSB-C机构创新设计方案试验台。 三、实验原理 此机构由电动机输出动能,再由皮带动齿轮转动,然后由一个小齿轮和一个大齿轮组合,降低输出速度。 构造一个曲柄摇杆机构,实现将电机转动转变化摇杆传动的功能。 利用曲柄摇杆机构特性设计一个能实现刚体给定位置的机构。 最终实现机构运用原理设计要求。 四、备选方案分析和最终选型方案。 设计好曲柄摇杆机构,可选择设计起重机构、铸造造型机沙箱翻转机构、读数机构、轨迹生成机构及缝纫机踏板机构等。 最终先择实现起重功能的起重机构。 最终选择此方案有2个原因。 五、最终选型方案的分析及选择该方案的理由

(一)实验室设备条件及设备精度限制,不能设计出比较精准的机构,此机构相比读数机构、轨迹生成机构等设计难度低,精度要求低。(二)此机构功能容易得到实现,构造比较简单,利用实验室现有机构实验设备、实验构件,在实验室中能独立完成。 六、实际拼装的机构的机构运动简图 七、实际拼装机构的杆组拆分简图

八、此机构功能 (一)实现起重 可以将重物提升到一个平台上,如:装卸载货物、掉重、起重小轿车等 (二)拔起铸造模型 (三)运送物资 (四)升降台 九、问题及建议 1.此机构的由于组成比较简单,有一些地方的设计不够完美,还需 改善。 2.实验的设备太少了,有时候大家都在做实验的时候实验零件不够 用,这使得我们设计的机构不能完全的成功。 3.设备都生锈了,我建议多对设备进行维护。 4.我们实践动手能力还需要提高,希望学院多安排此类课题设计。 十、实验心得 通过这段时间的机械设计课程设计进一步巩固、加深和拓宽所学的知识;通过设计实践,树立了正确的设计思想,增强创新意思,熟悉掌握了机械设计的一般规律,也培养了分析和解决问题的能力;对自己进行了一个全面的机械设计基本技能的训练。 从开始的传动方案的拟定的总体设计中,让我清楚的了解了自己接下

DDS 直接数字频率合成器 实验报告(DOC)

直接数字频率合成器(DDS) 实验报告 课程名称电类综合实验 实验名称直接数字频率合成器设计 实验日期2015.6.1—2013.6.4 学生专业测试计量技术及仪器 学生学号114101002268 学生姓名陈静 实验室名称基础实验楼237 教师姓名花汉兵 成绩

摘要 直接数字频率合成器(Direct Digital Frequency Synthesizer 简称DDFS 或DDS)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。本篇报告主要介绍设计完成直接数字频率合成器DDS的过程。其输出频率及相位均可控制,且能输出正弦波、余弦波、方波、锯齿波等五种波形,经过转换后在示波器上显示。经控制能够实现保持、清零功能。除此之外,还能同时显示出频率控制字、相位控制字和输出频率的值。实验要求分析整个电路的工作原理,并分别说明了各子模块的设计原理,依据各模块之间的逻辑关系,将各电路整合到一块,形成一个总体电路。本实验在Quartus Ⅱ环境下进行设计,并下载到SmartSOPC实验系统中进行硬件测试。最终对实验结果进行分析并总结出在实验过程中出现的问题以及提出解决方案。 关键词:Quartus Ⅱ直接数字频率合成器波形频率相位调节 Abstract The Direct Digital Frequency Synthesizer is a technology based on fully digital technique, a frequency combination technique syntheses a required waveform from concept of phase. This report introduces the design to the completion of the process of direct digital frequency synthesizer DDS. The output frequency and phase can be controlled, and can output sine, cosine, triangle wave, square wave, sawtooth wave, which are displayed on the oscilloscope after conversation. Can be achieved by the control to maintain clear function. Further can simultaneously display the value of the frequency, the phase control word and the output frequency. The experimental design in the Quartus Ⅱenvironment, the last hardware test download to SmartSOPC experimental system. The final results will be analyzed, the matter will be put forward and the settling plan can be given at last. Key words:Quartus ⅡDirect Digital Frequency Synthesizer waveform Frequency and phase adjustment

相关文档
相关文档 最新文档