文档库 最新最全的文档下载
当前位置:文档库 › 基于某FPGA地数字时钟设计毕业设计论文设计

基于某FPGA地数字时钟设计毕业设计论文设计

摘要

本设计为一个多功能的数字时钟,具有时、分、秒计数显示功能,以24小时循环计数;具有校对功能。本设计采用EDA技术,以硬件描述语言Verilog HDL为系统逻辑描述语言设计文件,在QUARTUSII工具软件环境下,采用自顶向下的设计方法,由各个基本模块共同构建了一个基于FPGA的数字钟。

系统由时钟模块、控制模块、计时模块、数据译码模块、显示以及组成。经编译和仿真所设计的程序,在可编程逻辑器件上下载验证,本系统能够完成时、分、秒的分别显示,按键进行校准,整点报时,闹钟功能。

关键词:数字时钟,硬件描述语言,Verilog HDL,FPGA

Abstract

The design for a multi-functional digital clock, with hours, minutes and seconds count display to a 24-hour cycle count; have proof functions function. The use of EDA design technology, hardware-description language VHDL description logic means for the system design documents, in QUAETUSII tools environment, a top-down design, by the various modules together build a FPGA-based digital clock. The main system make up of the clock module, control module, time module, data decoding module, display and broadcast module. After compiling the design and simulation procedures, the programmable logic device to download verification, the system can complete the hours, minutes and seconds respectively, using keys to cleared , to calibrating time. And on time alarm and clock for digital clock.

Keywords:digital clock,hardware description language,Verilog HDL,FPGA

目录

摘要 (1)

Abstract (2)

第一章绪论 (1)

1.1.选题意义与研究现状 (1)

1.2.国外研究及趋势 (1)

1.3.论文结构 (2)

第二章编程软件及语言介绍 (3)

2.1Quarters II编程环境介绍 (3)

2.1.1菜单栏 (3)

2.1.2工具栏 (8)

2.1.3功能仿真流程 (9)

2.2Verilog HDL语言介 (10)

2.2.1什么是verilog HDL语言 (10)

2.2.2主要功能 (11)

第三章数字化时钟系统硬件设计 (13)

3.1系统核心板电路分析 (13)

3.2系统主板电路分析 (15)

3.2.1时钟模块电路 (15)

3.2.2显示电路 (15)

3.2.3键盘控制电路 (17)

3.2.4蜂鸣电路设计 (17)

第四章数字化时钟系统软件设计 (18)

4.1整体方案介绍 (18)

4.1.1整体设计描述 (18)

4.1.2整体信号定义 (19)

4.1.3模块框图 (20)

4.2分频模块实现 (20)

4.2.1分频模块描述 (20)

4.2.2分频模块设计 (20)

4.2.3分频模块仿真 (21)

4.3计时模块实现 (21)

4.3.1计时模块描述与实现

21

4.3.2计时模块仿真

23

4.4按键处理模块实现 (23)

4.4.1按键处理模块描述 (23)

4.4.2按键去抖处理模块设计 (24)

4.4.3按键模块去抖仿真 (24)

4.5闹钟模块实现 (25)

4.5.1闹钟模块设计 (25)

4.5.2闹钟设定模块仿真 (25)

4.6蜂鸣器模块实现 (25)

4.6.1蜂鸣器模块描述 (25)

4.6.2蜂鸣器模块实现 (26)

4.6.3蜂鸣器模块仿真 (27)

4.7显示模块实现 (27)

4.7.1显示模块描述 (27)

4.7.2显示模块实现 (27)

4.7.3显示模块仿真 (29)

第五章系统调试及运行结果分析 (30)

5.1硬件调试 (30)

5.2软件调试 (31)

5.3调试过程及结果 (31)

5.4调试注意事项 (33)

第六章总结和展望 (34)

5.5总结 (34)

5.6展望 (34)

参考文献 (35)

致 (36)

附录 (37)

(最新版)基于51单片机汇编语言的数字钟课程设计报告含有闹钟万毕业论文

单片微型计算机课程设计报告 多功能电子数字钟 姓 名 学

教师 许伟敏 电气二班 林卫

目录 一:概述 (1) 二:设计基本原理简介 (2) 三:设计要求及说明 (3) 四:整体设计方案 (4) 系统硬件电路设计 4 系统软件总流程设计模块划分及分析5 6 五:单模块流程设计 (8) 各模块设计概述、流程图模块源程序集合及注释8 13 六:单模块软件测试 (23) 七:系统检测调试 (24) 硬件电路调试 软件部分烧写调试 八:系统优化及拓展 (26) 九:心得体会 (28)

单片微型计算机课程设计 一、概述 基于汇编语言的电子数字钟概述 课程设计题目:电子数字钟 应用知识简介: ● 51 单片机 单片机又称单片微控制器,它不是完成某一个逻辑功能 的芯片,而是把一个计算机系统集成到一个芯片上。作为嵌 入式系统控制核心的单片机具有其体积小、功能全、性价比高等诸多优点。51 系列单片机是国内目前应用最广泛的单片机之一,随着嵌入式系统、片上系统等概念的提出和普遍接受及应用,51 系列单片机的发展又进入了一个新的阶段。在今后很长一段时间内51 系列单片机仍将占据嵌入式系统产品的中低端市场。 ●汇编语言 汇编语言是一种面向机器的计算机低级编程语言,通常是为特定的计算机或系列计算机专门设计的。汇编语言保持了机器语言的优点,具有直接和简捷的特点,其代码具有效率高实时性强等优点。但是对于复杂的运算或大型程序,用汇编语言编写将非常耗时。汇编语言可以与高级语言配合使用,应用十分广泛。 ● ISP ISP(In-System Programming)在系统可编程, 是当今流行的单片机编程模式,指电路板上的空白元器 件可以编程写入最终用户代码,而不需要从电路板上取 下元器件。已经编程的器件也可以用ISP方式擦除或再 编程。本次课程设计便使用ISP方式,直接将编写好的 程序下载到连接好的单片机中进行调试。 选题 系统功能分析 硬件电路设计 整体流程设计 及模块划分 模块流程设计 模块编 码测试 系统合成调 试编译 下载调试(含硬件电路调试及软件烧写调试) 验收 完成总结报告课程设计流程图↑ 选题目的及设计思想简介: 课程设计是一次难得的对所学的知识进行实践的机会,我希望通过课程设计独立设计一个简单的系统从而达到强化课本知识并灵活运用的目的。电子数字钟是日常生活钟随处可见的简单系统。对电子数字钟的设计比较容易联系实际并进行拓展,在设计中我将力求尽可能跳出课本的样板,从现实生活中寻找设计原型和设计思路,争取有所突破。 如图所示便是我本次课程设计流程图,设计的整个过程运用自顶向下分析、自底向上实现的

基于FPGA的数字时钟设计毕业设计论文

摘要 本设计为一个多功能的数字时钟,具有时、分、秒计数显示功能,以24小时循环计数;具有校对功能。本设计采用EDA技术,以硬件描述语言Verilog HDL为系统逻辑描述语言设计文件,在QUARTUSII工具软件环境下,采用自顶向下的设计方法,由各个基本模块共同构建了一个基于FPGA的数字钟。 系统由时钟模块、控制模块、计时模块、数据译码模块、显示以及组成。经编译和仿真所设计的程序,在可编程逻辑器件上下载验证,本系统能够完成时、分、秒的分别显示,按键进行校准,整点报时,闹钟功能。 关键词:数字时钟,硬件描述语言,Verilog HDL,FPGA

Abstract The design for a multi-functional digital clock, with hours, minutes and seconds count display to a 24-hour cycle count; have proof functions function. The use of EDA design technology, hardware-description language VHDL description logic means for the system design documents, in QUAETUSII tools environment, a top-down design, by the various modules together build a FPGA-based digital clock. The main system make up of the clock module, control module, time module, data decoding module, display and broadcast module. After compiling the design and simulation procedures, the programmable logic device to download verification, the system can complete the hours, minutes and seconds respectively, using keys to cleared , to calibrating time. And on time alarm and clock for digital clock. Keywords:digital clock,hardware description language,Verilog HDL,FPGA

(完整版)单片机的电子时钟设计毕业设计

以下文档格式全部为word格式,下载后您可以任意修改编 辑。 济源职业技术学院 毕业设计 题目单片机的电子钟设计 系别电气工程系 专业应用电子技术 班级电技0801 姓名肖见 学号 指导教师苗绍强 日期 2010年12月

设计任务书 设计题目: 单片机的电子钟设计 设计要求: 1.设计一个具有特定功能的电子钟。该电子钟上电或按键复位后能自动显示系统提示符“P.”,进入时钟准备状态;第一次按电子钟启动调整键,电子钟从0时0分0秒开始运行,进入时钟运行状态;再次按电子钟启动调整键,则电子钟进入时钟调整状态,此时可利用各调整键调整时间,调整结束后可按启动调整键再次进入时钟运行状态。 2.设计完成上述功能的相应的硬件调试和软件调试。 3.完成焊接和实物电路的调试。 设计进度要求: 第一周:选定设计题目,查找、搜集相关资料。 第二周:了解各元器件、模块的功能及使用方法。 第三周:硬件电路的设计。 第四周:相应软件设计(程序设计)。 第五周:利用相关的仿真软件测试并记录相关的数据和错误。 第六周:焊接实物电路,并且在实物电路上调试并且记录相关的数据和问题。 第七周:写毕业论文。 第八周:毕业答辩。指导教师(签名):

摘要 时钟,自从它发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术的不断发展,人们对时间计量的精度要求越来越高,应用越来越广。怎样让时钟更好的为人民服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。 数字电子钟的设计方法有多种,其中,利用单片机实现的电子钟具有编程灵活,便于电子钟功能的扩充,即可用该电子钟发出各种控制信号,精确度高等特点,同时可以用该电子钟发出各种控制信号。 本设计主要介绍用单片机内部的定时计数器来实现电子时钟的方法,本设计由单片机AT89C52芯片和LED数码管为核心,辅以必要的电路,构成了一个单片机电子时钟。与传统机械表相比,它具有走时精确,显示直观等特点。它的计时周期为24小时,显满刻度为“23时59分59秒”,另外具有校时功能等特点。 关键词:电子钟,单片机,汇编

数字电子时钟毕业设计

数字电子时钟毕业设 计 Revised on November 25, 2020

毕业设计(论文) 题目:多功能数字电子时钟 毕业时间:二O一二年七月 学生姓名:梁宇 指导教师:林喆 班级: 09电缆(1)班 2011 年 10月18日 摘要 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。 振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。 分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。 计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。

译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。 由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。 为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。 关键词数字钟振荡计数校正报时 目录 1 设计目的 (4) 2 设计任务 (4) 设计指标 (4) 设计要求 (4) 3数字电子钟的组成和工作原理 (4) 数字钟的构成 (4) 原理分析 (4) 数字点钟的基本逻辑功能框图 (5) 4.数字钟的电路设计 (5) 电源电路的设计 (5) 秒信号发生器的设计 (6) 4.2.1方案一 (6) 4.2.2方案二 (6)

多功能电子时钟,毕业设计

多功能电子时钟 摘要 本文是基于AT89C52单片机数字钟的设计,通过多功能电子时钟的设计思路,详细叙述了系统硬件、软件的具体实现过程。 论文重点阐述了电子时钟硬件中MCU模块、时钟模块和键盘模块、显示模块等的模块化设计与制作;软件同样采用模块化设计思路,包括中断模块、闹钟模块、时间调整模块的设计,并采用C语言编写实现。本设计实现了时间与闹钟的修改功能,年、月、日和星期的显示功能。并且通过对比实际的时钟,查找出了误差的来源,确定了调整误差的方法,尽可能的减少误差,使得系统可以达到实际数字钟的允许误差范围内。本文还涉及到非接触止闹功能,在有效范围内使用者不需用手去寻找开关而关闭闹钟,该功能使本设计更具有人性化。该时钟还有重要日子倒计时功能,能够提前几天设定好时间,以避免遗忘重要日子。 关键词:AT89C52单片机,电子时钟,模块化设计,C语言

Multifunctional electronic clock ABSTRACT This article is based on AT89C52 microcontroller digital clock design, through multi-functional electronic clock design ideas, detailed description of the system hardware and software realization process. Paper focuses on the electronic clock hardware MCU module, clock module and keyboard module, display module, modular design and production; software as a modular design concept, including an interrupt module, alarm module, module design time to adjust and adopt the C language implementation. The Design and Implementation of the changes of time and alarm functions, year, month, day and week display. And by comparing the actual clock, find out the source of the error, the error method to determine the adjustment, as much as possible to reduce the error, allows the system to achieve the actual number of minutes of allowable error range. This also involves the function of non-contact only trouble in the effective range of users do not need a hand to find switch and turn off the alarm, this feature makes the design more user friendly. There are important days of the countdown clock function, set a good few days ahead of time, to avoid forgetting important occasions. KEY WORDS: AT89C52 microcontroller, electronic clock, modular design, C language

毕业设计论文-数字钟设计

数字钟设计 院系电子信息工程学院专业电子信息工程班级 1 姓名马梦珂

摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 数字钟就是由电子电路构成的计时器,是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应该该有校时功能和报时,整体清零等附加功能。主电路系统由秒信号发生器,时、分、秒计时器,译码器及显示器,校时电路,整体清零电路,整点报时电路组成。秒信号发生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。秒信号产生器将标准信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,发出一个“时脉冲”信号,该信号将被送到“时计数器”,“时计数器”采用24进制计时器,可实现一天24小时的累计, 本课题利用了单片机的数码管和定时器相关知识,采用AT89C51单片机子控制核心,结合LED数码管实现时分秒的显示。硬件电路设计主要包括中央处理单元电路,键盘扫描电路。软件程序则采用VC语言实现。本设计实现了显示时间、调整时间等功能,达到了设计的目的和要求。并在Proteus软件上进行了仿真和调试。 关键词:计时器,计数,译码,校时,数字时钟,单片机,仿真调试

目录 摘要 ............................................................................................................... I 目录 ............................................................................................................ III 1 引言 ............................................................................ 错误!未定义书签。 1.1 研究背景及意义 (1) 1.2 国内外研究现状 (1) 2 硬件设计 (1) 2.1 元件组成 (1) 2.2 电路组成...................................................................... 错误!未定义书签。 3 软件设计 (4) 3.1 电路原理图设计 (6) 3.2 源程序 (7) 4 系统调试与实验 (11) 5 总结 (12) 参考文献 (12)

毕业设计论文_单片机电子时钟的设计

单片机电子时钟的设计 摘要 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,在我国,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面,而51单片机是各单片机中最为典型和最有代表性的一种。这次毕业设计通过对它的学习、应用,以AT89S51芯片为核心,辅以必要的电路,设计了一个简易的电子时钟,它由4.5V直流电源供电,通过数码管能够准确显示时间,调整时间,从而到达学习、设计、开发软、硬件的能力。 关键词:单片机 AT89S51 电子时钟数码管

Design of the singlechip electronics clock Abstract Single slice machine from published in 70's for 20 centuries, is compare with its very high function price, is value by people and pay attention to, apply very widely, develop very quickly. Single slice the machine physical volume is small,the weight is light,the anti- interference ability is strong,the environment haven't high request,the price is cheap,the credibility is high,vivid good,develop more easy. In order to having an above-mentioned advantage, at the our country, single slice the machine is broadly applied already to turn an equipment at industrial automation control,automatic examination,intelligence instrument appearance,home appliances,electric power electronics,the machine electricity integral whole etc. each aspect, but 51 machines is is a typical model most and have a representative most in each machine of a kind. This graduation design passes to its study and application, Take the AT89S51 chips as core, assist with the electric circuit of the necessity, design a simple electronics clock, it from the 4.5 V direct current power supply power supply, pass the figures tube can accurate manifestation time, adjust time。Arrive a study and design, develop thus soft,the ability of the hardware . Keywords:MCU AT89S51electronics clock digital tube

基于单片机的电子时钟系统设计

题目:电子时钟系统设计 班级: 姓名: 专业: 指导教师: 答辩日期:

毕业设计任务书 一、设计题目: 电子时钟系统设计 二、设计要求: 利用8031单片机作为主控器组成一个电子时钟系统。利用4个LED显示管分时显示当前时间和日历;上电或RESET后能自动显示当前时间(时:分),首次上电复位显示为0时0分;以后各次均显示正确的当前时间;利用尽可能少的小键盘(开关)实现;显示选择:时分显示/日历显示/报警显示,利用发光二极管作为报警指示,当报警时间到,二极管发光。 三、设计任务: 1.设计硬件电路,画出电路原理图; 2. 设计软件,编制程序,画出程序流程图; 3.调试程序,写出源程序代码; 4.写出详细毕业设计说明书(10000字以上),要求字迹工整,原理叙述正确,会计算主要元器件的一些参数,并选择元器件。 5.个人总结。 四、参考资料: 1. 教材; 2.《单片机实验指导书》,河南工业职业技术学院内部; 3.《51系列单片机设计实例》,楼然苗、李光飞编著,北京航空航天出版社; 4.《微机控制技术及应用》,韩全立主编,机械工业出版社; 5.《单片机应用技术与实训》,王治刚主编,清华大学出版社; 6.《常用电子电器手册》; 7.《单片机应用技术与实例》,睢丙东主编,电子工业出版社;

8.《单片微型计算机应用技术》,徐仁贵,机械工业出版社。

目录 第一章绪论 (6) 1.1 单片机的概述 (6) 1.2 数字电子钟的简介 (7) 第二章电子时钟硬件电路设计 (9) 2.1 硬件电路设计摘要 (9) 2.2 硬件电路设计来源 (9) 2.3 硬件电路设计原理图 (11) 第三章软件设计及程序编制 (13) 3.1 系统程序设计 (13) 3.2 电子钟的说明 (16) 3.3 中断服务程序 (18) 3.4 设计参数 (21) 3.5 控制源程序代码 (21) 第四章功能调试及分析 (31) 4.1 调试功能的方法 (31) 4.2 电子钟计时说明 (31) 4.3 调试及性能分析 (32)

(完整版)基于FPGA的数字电子时钟毕业设计论文

目录 第一章绪论 ............................................................ 1.1选题背景.......................................................... 1.1.1 课题相关技术的发展............................................ 1.1.2 课题研究的必要性.............................................. 1.2课题研究的内容....................................................第二章 FPGA简介........................................................ 2.1FPGA概述.......................................................... 2.2FPGA基本结构...................................................... 2.3FPGA系统设计流程.................................................. 2.4FPGA开发编程原理.................................................. 2.5Q UARTUS II设计平台.................................................. 2.5.1 软件开发环境及基本流程........................................ 2.5.2 具体设计流程 (1) 第三章数字钟总体设计方案 (1) 3.1数字钟的构成 (1) 3.2数字钟的工作原理 (1) 3.3数字钟硬件电路设计 (1) 第四章单元电路设计 (1) 4.1分频模块电路设计 (1) 4.2校时控制模块电路设计 (1) 4.2.1 按键消抖 (1) 4.2.2 按键控制模块 (1) 4.3计数模块 (2) 4.4译码显示模块 (2)

(完整版)基于PLC控制_数字显示电子钟--英文文献翻译毕业论文

外文资料 PLC technique discussion and future development Along with the development of the ages, the technique that is nowadays is also gradually perfect, the competition plays more strong; the operation that list depends the artificial produce practice, automate brought the tremendous convenience and the product quantities for people up of assurance, also eased the personnel's labor strength, reduce the establishment on the personnel. The target control of the in many complicated production lines, whole and excellent turn, the best decision etc., well-trained operation work, technical personnel or expert, governor but can judge and operate easily, can acquire the satisfied result. The research target of the artificial intelligence makes use of the calculator exactly to carry out, imitate these intelligences behavior, moderating the work through person's brain and calculators, with the mode that person's machine combine, for resolve the very complicated problem to look for the best path We come in sight of the control that links after the electric appliances in various situation, that is already the that time generation past, now of after use in the mold a perhaps simple equipments of grass-roots control that the electric appliances can do for the low level only; And the PLC emergence also became the epoch-making topic, adding the vivid software control through a very and stable : The electrical engineering teacher already no longer electric that the button switch or the importation of the

基于单片机的电子钟设计方案毕业论文。。.doc

基于单片机的电子时钟设计 摘要 20 世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于晶体震荡器,可能会导致误差。 数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24 小时计时方式,根据数码管动态显示原理来进行显示,用 12MHz的晶振产生振荡脉冲,定时 器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字 钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键字:数字电子钟单片机 数字电子钟的背景 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各 个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产 品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着 CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。下面是单片机的主要发 展趋势。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方 法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法

数字电子钟设计毕业论文

数字电子钟设计毕业论文 目录 论文摘要 (1) 关键词:数字电路集成电路逻辑电路 (1) Abstract (2) 目录 (3) 第1章数字电子钟设计总体方案 (5) 1.1.1数字计时器的设计思想 (5) 1.1.2数字电子钟组成框图 (6) 1.1.3 单元电路设计 (6) 第2章数字逻辑电路概述 (9) 2.1 数字电路的特点 (9) 2.2 数制 (10) 2.2.1十进制 (10) 2.2.2 二进制 (10) 2.2.3 十六进制 (11) 2.2.4 不同进制数的表示符号 (12) 2.3 不同进制数之间的转换 (12) 2.3.1 二、十六进制数转换成十进制数 (12) 2.3.2 二进制与十六进制数之间的转换 (12) 2.3.3 十进制数转换成二、十六进制数 (13) 2.4 二进制代码 (15) 2.4.1 自然二进制代码 (15) 2.4.2 二–十进制代码(BCD码) (15) 2.5基本逻辑运算 (16) 2.5.1 与逻辑运算 (16) 2.5.2 或逻辑运算 (17) 2.5.3 非逻辑运算 (18) 第3章逻辑门电路 (19) 3.1 基本逻辑门电路 (19) 3.1.1 与门电路 (19) 3.1.2 或门电路 (20) 3.1.3 非门电路 (21) 3.1.4 复合逻辑门 (22) 第4章组合逻辑电路 (24) 4.1 组合逻辑电路的分析与设计 (24) 4.1.1 组合逻辑电路的分析 (24) 4.1.2 组合逻辑电路的设计 (26) 4.2 编码器 (29)

4.2.1 编码器的工作原理 (29) 4.3译码器和数字显示电路 (32) 4.3.1 二进制译码器 (32) 4.3.2 显示译码器 (34) 第5章触发器 (37) 5.1 RS触发器 (37) 5.1.1 基本RS触发器 (37) 5.1.2 同步RS触发器 (39) 5.2 JK、D、T触发器 (40) 5.2.1 JK触发器 (40) 5.2.2 D触发器 (42) 5.2.3 T触发器 (43) 第6章时序逻辑电路 (44) 6.1 时序逻辑电路的基本概念 (44) 6.1.1 时序逻辑电路的基本结构及特点 (44) 6.1.2 时序逻辑电路的分类 (45) 6.2 时序逻辑电路的分析 (45) 6.2.1 分析时序逻辑电路的步骤 (45) 6.2.2 同步时序逻辑电路的分析及应用 (45) 6.2.3 异步时序逻辑电路的分析及应用 (48) 6.3 同步时序电路的设计 (50) 6.3.1 同步时序逻辑电路设计的步骤 (51) 6.3.2 同步时序逻辑电路设计的应用 (52) 6.4计数器 (56) 6.4.1 二进制计数器 (56) 6.4.2 同步十进制加法计数器 (58) 6.5 脉冲信号的产生 (60) 6.5.1 由与非门组成的多谐振荡器 (60) 6.5.2 石英晶体时钟脉冲发生器 (61) 结论 (63) 谢辞 (64) 参考文献 (65)

新型多功能电子闹钟设计毕业设计论文

本科生毕业设计(论文)

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在

不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

2009届 本科毕业设计(论文)资料第一部分毕业论文

(2009届) 本科毕业设计(论文) 新型多功能电子闹钟设计 2009年6月

摘要 本文提出了一种基于AT89C51单片机的新型多功能电子闹钟。通过对设计方案的比较与论证,选择了适合本设计的时钟模块、闹铃模块、温度检测模块、键盘及显示模块、电源模块设计方案。其中实时时钟采用DS12C887实现年月日时分秒等时间信息的采集和闹钟功能;温度检测模块由DS18B20集成温度传感器对现场环境温度进行实时检测;键盘和数码管与ZLG7289连接,通过键盘数码管可方便地校对时钟和设置闹钟时间;用蜂鸣器进行声音指示;采用7805 三端稳压集成芯片稳定输出5V直流电压。通过对AT89C51单片机最小系统的原理分析,结合论文的设计要求,完成了系统流程图及系统程序的设计。 本设计可实现时间显示、闹钟设置、环境温度测量、交直流供电电源等功能。 关键词:单片机,电子闹钟多功能设计,温度检测,交直流供电

多功能数字电子钟的设计

学号20103010342 毕业设计说明书 设计题目多功能数字电子钟的设计 系部机械电子系 专业机电一体化 班级机电103 班 姓名关付玲 指导教师肖玉玲 2012年 10月 13日

摘要 摘要:数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时,整点报时和校时的功能。在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。实验证明该设计电路基本上能够符合设计要求! 关键词:计数器;译码显示器;校时电路;

Abstract Abstract:Digital clock is a "time", "Sub", "second" displays the organ in human visual mechanism. Its time for a period of 24 hours, show full scale 23:59 for 59 seconds. A basic digital clock circuits consists of second signal generator, "hours, minutes, seconds," counters, decoders and display components. Because of its pure digital hardware design, compared with the traditional mechanical watch, it has left, presents an intuitive, non-mechanical transmission device and so on. This digital clock used in the design of digital circuits on the "time" and "min", "second" display and adjustment. Through the use of integrated digital chip circuit structures to achieve appropriate functionality. Specific use of 555 oscillator, 74LS90 and non-, exclusive-or gate integrated circuits and so on. The circuits with timing, the whole point of time and error correction capabilities. In the analysis of the entire module and overall circuit diagram is painted, simulation to emulation and modules record the observed results. Experimental proof of the design circuit can basically meet the design requirement! Key words:Counter ,ten decoding display , citcuit Shool

数字钟的设计与仿真

目录 摘要 (3) 前言 (4) 第一章理论分析 1.1 设计方案 (5) 1.2 设计目的 (5) 1.3 设计指标 (6) 1.4 工作原理及其组成框图 (6) 第二章系统设计 2.1 多谐振荡器 (8) 2.2 计数器 (10) 2.3 六十进制电路 (12) 2.4 译码与LED显示器 (13) 2.5 校时电路 (14) 2.6 电子时钟原理图 (15) 2.7 仿真与检测 (16) 2.8 部分元器件芯片结构图 (18) 2.9 误差分析 (19) 第三章小结 心得体会 (20) 致谢 (21) 参考文献 (22)

摘要 时钟,自从它发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术的不断发展,人们对时间计量的精度要求越来越高,应用越来越广。怎样让时钟更好的为人民服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。在这次的毕业设计中,针对一系列问题,设计了如下电子钟。 本系统由555多谐振荡器,分频器,计数器,译码器,LED显示器和校时电路组成,采用了CMOS系列(双列直插式)中小规模集成芯片。总体方案手机由主题电路和扩展电路两大分组成。 其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能,进行了各单元设计,总体调试。 关键词:555多谐振荡器;分频器;计数器;译码器;LED显示器

前言 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 本次设计以数字电子为主,分别对1S时钟信号源、秒计时显示、分计时显示、小时计时显示、整点报时及校时电路进行设计,然后将它们组合,来完成时、分、秒的显示并且有走时校准的功能。并通过本次设计加深对数字电子技术的理解以及更熟练使用计数器、触发器和各种逻辑门电路的能力。电路主要使用集成计数器,例如CD4060、CD4518,译码集成电路,例如CD4511,LED数码管及各种门电路和基本的触发器等,电路使用5号电池共电,很适合在日常生活中使用。

南京理工大学毕业论文模板

南京理工大学 毕业设计(论文)开题报告 学生姓名:学号: 专业: 设计(论文)题目: 指导教师: 年月日

毕业设计(论文)开题报告 1.结合毕业设计(论文)课题情况,根据所查阅的文献资料,每人撰写2000字左右的文献综述: 文献综述 时间对人们来说可说是越来越宝贵,在快节奏的生活中,人们往往忘记了时间,一旦遇到重要的事情而忘记了时间,这将会带来很大的损失。 时钟的数字化给人们带来了极大的方便。其广泛用于个人家庭、车站、码头、办公室等公共场所,已成为人们日常生活中不可少的必需品。与传统机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,节省了电能。 在众多时钟设计可选方案中,可以利用中小规模集成电路设计,可以利用专用的时钟芯片设计,也可以利用单片机进行设计,各有特点。其中,单片机凭借其体积小、重量轻、抗干扰能力强,以及灵活性、可靠性好和其很高的性价比这些优点已经渗入到人们工作和生活的各个角落,有力地推动了各行业的技术改造和产品的更新换代,前景广阔。而且数字钟又具备单片机最小系统的基本组成,对于我们了解单片机也有很大的帮助。 20世纪末,电子技术获得了飞速的发展,在其推动下,现在电子产品几乎渗透了社会的各个领域,有力的推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。随着现在社会的快速发展,国内外已有多种数字钟设计成果。其中使用单片机设计的数字钟功能强大,界面友好,更好的满足了人们对它的智能化要求。 利用VHDL硬件描述语言设计的多功能数字钟的思路和技巧。在MAX+PLUSII开发环境中编译和仿真了所设计的程序,并在可编程逻辑器件上下载验证。 (《基于VHDL的多功能数字钟的设计》樊永宁等工矿自动化 2006年 03期) 采用低成本数字集成电路LM8569及配套的LED(发光二极管)显示器组成的家用数字钟,采用双电源供电,具有电路简单、价格低廉、精确度高、性能可靠、功能多以及适用性强等特点。(《用LM8569制作数字钟》樊永宁等工矿自动化 2006年03期) 以AT89C51 为中心控制单元,采用汇编语言编程,设计一个用6位数码管显示时、分、秒的时钟。该时钟可实现时间显示、时间调整、闹钟设置、整点报时和省电等多种功能,具有制作简单、调整方便、稳定性好、便于扩展等特点。经实践制作、调试,证明设计可靠、方案可行。 (《基于AT89C2051的多功能时钟设计》刘文霞等《现代电子技术》2008 年第18 期总第281期)

相关文档
相关文档 最新文档