文档库 最新最全的文档下载
当前位置:文档库 › 序列检测器的设计 实验报告

序列检测器的设计 实验报告

序列检测器的设计 实验报告
序列检测器的设计 实验报告

EDA实验报告书

姓名xxx 学号xxxxxxx 实验时间课题名称序列检测器的设计

实验目的1.用状态机实现序列检测器的设计

2.了解一般状态机的设计与应用

设计要求1.采用VHDL语言设计序列检测器,具体要求如下:

(1)检测序列为“10101110”。该序列从左到右依次进入检测器,如果检测到完整序列,检测器输出为‘1’,反之输出为‘0’。

(2)利用Quatus II软件生成状态转移图。

(3)对该检测器进行仿真,得到仿真波形。

2.采用状态图编辑方法设计序列检测器,检测序列为“11010101”。具体要求为

(1)对电路进行仿真,得到仿真波形。

(2)将该电路图转化成VHDL语言形式。

设计思路序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出为1,否则输出为0.由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同。在检测过程中,任何一位不相等都将回到初始状态重新检测。

设计原理图及源程

序LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY AA IS

PORT ( CLK ,DIN,RST : IN STD_LOGIC;

SOUT : OUT STD_LOGIC;

END AA;

ARCHITECTURE behav OF AA IS

TYPE states IS (s0, s1, s2, s3,s4,s5,s6,s7,s8);

SIGNAL ST,NST : states :=s0 ;

BEGIN

COM : PROCESS(ST,DIN) BEGIN

CASE ST IS

WHEN s0 => IF DIN = '1' THEN NST <= s1;ELSE NST<=s0; END IF; WHEN s1 => IF DIN = '0' THEN NST <= s2;ELSE NST<=s0; END IF; WHEN s2 => IF DIN = '1' THEN NST <= s3;ELSE NST<=s0; END IF; WHEN s3 => IF DIN = '0' THEN NST <= s4;ELSE NST<=s0; END IF; WHEN s4 => IF DIN = '1' THEN NST <= s5;ELSE NST<=s0; END IF; WHEN s5 => IF DIN = '1' THEN NST <= s6;ELSE NST<=s0; END IF; WHEN s6 => IF DIN = '1' THEN NST <= s7;ELSE NST<=s0; END IF; WHEN s7 => IF DIN = '0' THEN NST <= s8;ELSE NST<=s0; END IF; WHEN s8 => IF DIN = '0' THEN NST <= s2;ELSE NST<=s0; END IF;

WHEN OTHERS => NST <= st0;

END CASE ;

END PROCESS;

REG: PROCESS (CLK,RST)

BEGIN

IF RST='1' THEN ST<=s0;

ELS IF ( CLK'EVENT AND CLK='1') THEN ST<=NST;

END IF;

END PROCESS REG;

SOUT<='1'WHEN ST=s8 ELSE '0' ;

END behav;

仿真波形

实验结果LIBRARY ieee;

USE ieee.std_logic_1164.all;

ENTITY SM1 IS

PORT (

clock : IN STD_LOGIC;

reset : IN STD_LOGIC := '0';

input1 : IN STD_LOGIC := '0';

input2 : IN STD_LOGIC := '0';

output1 : OUT STD_LOGIC

);

END SM1;

ARCHITECTURE BEHA VIOR OF SM1 IS

TYPE type_fstate IS (st1,st2,st3,st4,st5,st6,st7,st8,st0);

SIGNAL fstate : type_fstate;

SIGNAL reg_fstate : type_fstate;

BEGIN

PROCESS (clock,reset,reg_fstate)

BEGIN

IF (reset='1') THEN

fstate <= st1;

ELSIF (clock='1' AND clock'event) THEN

fstate <= reg_fstate;

END IF;

END PROCESS;

PROCESS (fstate,input1,input2)

BEGIN

output1 <= '0';

CASE fstate IS

WHEN st1 =>

IF (((input1 = '1') AND (input2 = '1'))) THEN

reg_fstate <= st2;

ELSE

reg_fstate <= st0;

END IF;

output1 <= '0';

WHEN st2 =>

IF (((input1 = '1') AND (input2 = '1'))) THEN reg_fstate <= st3;

ELSE

reg_fstate <= st0;

END IF;

output1 <= '0';

WHEN st3 =>

IF (((input1 = '1') AND (input2 = '1'))) THEN reg_fstate <= st4;

ELSE

reg_fstate <= st0;

END IF;

output1 <= '0';

WHEN st4 =>

IF (((input1 = '1') AND (input2 = '1'))) THEN reg_fstate <= st5;

ELSE

reg_fstate <= st0;

END IF;

output1 <= '0';

WHEN st5 =>

IF (((input1 = '1') AND (input2 = '1'))) THEN reg_fstate <= st6;

ELSE

reg_fstate <= st0;

END IF;

output1 <= '0';

WHEN st6 =>

IF (((input1 = '1') AND (input2 = '1'))) THEN reg_fstate <= st7;

ELSE

reg_fstate <= st0;

END IF;

output1 <= '0';

WHEN st7 =>

IF (((input1 = '1') AND (input2 = '1'))) THEN reg_fstate <= st8;

ELSE

reg_fstate <= st0;

END IF;

output1 <= '0';

WHEN st8 =>

IF (((input1 = '1') AND (input2 = '1'))) THEN

reg_fstate <= st3;

ELSE

reg_fstate <= st0;

END IF;

output1 <= '1';

WHEN st0 =>

IF (((input1 = '1') AND (input2 = '1'))) THEN

reg_fstate <= st1;

ELSE

reg_fstate <= st0;

END IF;

output1 <= '0';

WHEN OTHERS =>

output1 <= 'X';

report "Reach undefined state";

END CASE;

END PROCESS;

END BEHA VIOR;

问题讨论1.利用序列检测器设计简易数字密码锁。

先输入一个密码,然后在程序中设定一个你设置的密码,再利用程序实现将输入的密码和与设置的密码对应比较,如果可以就输出正确,如果不行,就重头再输。

2.如何给本实验设计出的序列检测器去除毛刺?

产生一串序列,电路可由计数器和数据选择器构成,后接锁存输出,其功能是为了消除序列产生时可能出现的毛刺现象

教师评分

教师签名

日期

操作成绩报告成绩

使用D触发器设计一个11001序列检测器介绍

讨论使用D触发器设计一个11001序列检测器,讨论序列可交迭(Overlap)检测和不可交迭检测在设计上的区别,讨论分别采用Mealy机设计和采用Moore机设计的区别,讨论未用状态的处理问题。 【要求】给出电路原理图或HDL代码,要求进行仿真,并给出仿真结果。 1.原件介绍 D触发器(74LS74)、“与”门(74LS08)、“或”门(74LS32)、“非”门(74LS04),集成电路引脚

2.设计思路 根据要求,设计的序列检测器有一个外部输入x和一个外部输出Z。输入和输出的逻辑关系为:当外部输入x第一个为"1",外部输出Z为"0";当外部输入x 第二个为"1",外部输出Z为"0";当外部输入第三个x为"0",外部输出Z为"0",当外部输入第四个x为“0”,外部输出Z为0,当外部输入第五个x为“1”,外部输出Z为“1”。假定有一个外部输入x序列以及外部输出Z为: 输入X 0 1 1 1 0 0 1 0 1 输出Y 0 0 0 0 0 0 1 0 0 要判别序列检测器是否连续接收了"11001",电路必须用不同的状态记载外部输入x的值。假设电路的初始状态为A,x 输入第一个"1",检测器状态由A装换到B,用状态B记载检测器接受了"11001"序列的第一个"1",这时外部输出Z=0;x输入第二个"1",检测器状态由B装换到C,用状态C 记载检测器接了“11001”序列的第二个"1",外部输出Z=0;x输入第三个"0",检测器状态由C装换到D,外部输出Z=0;x输入第四个为“0”,检测器状态由D装换到E,外部输出Z=0;x输入第五个为“1”,检测器状态由E装换到F,外部输出Z=1。然后再根据外部输入及其他情况时的状态转移,写出相应的输出。以上分析了序列检测器工作,由此可画出原始状态图。根据原始状态图可列出原始状态表。 状态转换表 A B D C E F 1\0 1\0 0\0 0\0 1\1 0\0 0\0 1\0 1\0 0\0 0\0

机械设计上机设计实验报告

机械设计上机设计 班级: 姓名: 学号:

目录 1.数表和线图的程序化处理 (1) 1.1数表的程序化 (1) 1.1.1查表检索法 (1) 1.1.2数表解析法 (12) 1.2线图的程序化 (15) 1.3有关数据处理 (16) 2.典型零部件的程序设计 (18) 2.1 V带传动的程序设计 (18) 2.2 齿轮传动的程序设计 (19) 2.3 滚动轴承的程序设计 (21) 3.课后习题计算 (22)

一、表和线图的程序化处理 1.1数表程序化 数表程序化有两种方法:一是查表检索法;二是数表解析法1.1.1 查表检索法 1)一元数表的存取 表1-1 普通V带型号及有关参数 运行界面:

程序代码: Private Sub Command1_Click() Dim s As Integer Dim q1 As Single, dm As Single, kb As Single s = Val(Txt_s.Text) Select Case s Case 0 q1 = 0.02: dm = 20: kb = 0.00006 Case 1 q1 = 0.06: dm = 50: kb = 0.00039 Case 2 q1 = 0.1: dm = 75: kb = 0.00103 Case 4 q1 = 0.17: dm = 125: kb = 0.00265 Case 5 q1 = 0.3: dm = 200: kb = 0.0075 Case 6 q1 = 0.62: dm = 355: kb = 0.0266 Case 7 q1 = 0.9: dm = 500: kb = 0.0498 End Select Txt_q1.Text = Str(q1) Txt_dmin.Text = Str(dm) Txt_kb.Text = Str(kb) End Sub Private Sub Command2_Click() End End Sub 2)二元数表的存取 表1-2齿轮传动工作状况系数K

设计一个1010的序列检测器

二、设计一个1010的序列检测器,检测到1010时输出为“1”否则为“0”,用D触发器实现。 第一步:根据要求进行逻辑抽象,得出电路的原始状态转换图和状态转换表。 取输入数据变量为X,检测的输出变量为Z, 该同步时序逻辑电路的功能是检测输入序列是否为1010,一旦输入序列出现一个1就记下来,因为这个1可能是1010序列的第一个1,;接着看输入是否为0,因为10是序列1010的前两位;其次再看输入是否为1,因为101是1010序列的前三位;最后再输入一个0,输出则为1,因为出现了一个1010序列,泽电路必须记住1,10,101,1010四种输入情况,每一种输入情况应与电路的一个状态相对应。 根据题意,设电路随机的输入和输出序列为: X:0 1 0 1 0 0 0 1 0 1 0 1 0…… Z: 0 0 0 0 1 0 0 0 0 0 1 0 0…… 该电路仅有一个输入端,每个现态有两个可能转移方向,设电路初态为S0,当X=0时,电路仍处在状态S0,当输入一个1以后的状态为S1,输入10以后的状态为S2,输入101以后的状态为S3,输入1010以后的状态为S4。以S n表示电路的现态,S n+1表示电路的次态。 由此得出原始状态转换图和原始状态转换表:

第二步:状态化简: 依据状态等效条件判断得出S0和S4在相同的输入条件下,它们转换到相同的次态去,且有相同的输出,故S0和S4等效,经分析比较,找出最大等效类:{S1},{S2},{S3},{S0,S4}。 由此得出化简的状态转换图和最简状态表: 第三步:状态编码: 最贱状态表共有四种状态,可用两位二进制代码来表示,设状态变量为Q1,Q2,依据状态编码原则,确定S0=00,S1=01,S2=11,S3=10四种状态,其编码后的状态转换图和状态转换表:

计数器的设计实验报告

计数器的设计实验报告 篇一:计数器实验报告 实验4 计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是

CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。 图5- 9-1 CC40192引脚排列及逻辑符号 图中LD—置数端CPU—加计数端CPD —减计数端CO—非同步进位输出端BO—非同步借位输出端 D0、D1、D2、D3 —计数器输入端 Q0、Q1、Q2、Q3 —数据输出端CR—清除端 CC40192的功能如表5-9-1,说明如下:表5-9-1 当清除端CR为高电平“1”时,计数

器直接清零;CR置低电平则执行其它功能。当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3 置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CPD 接高电平,计数脉冲由CPU 输入;在计数脉冲上升沿进行8421 码十进制加法计数。执行减计数时,加计数端CPU接高电平,计数脉冲由减计数端CPD 输入,表5-9-2为8421 码十进制加、减计数器的状态转换表。加法计数表5-9- 减计数 2、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图5-9-2是由CC40192利用进位

1011序列检测器

综合设计性实验报告 题目: 学生姓名: 学号: 班级: 指导教师: 学期:2010——2011第2学期

目录 一基本知识点 (1) 二实验器件 (1) 三设计思路 (1) 四设计过程 (2) (一)三位二进制减法计数器(无效状态000,001) (二)5 五引脚功能 (9) 六逻辑电路图: (11) 七实验结果波形图 (12) 八设计心得体会 (12)

一基本知识点 1、掌握时序电路的设计方法和步骤 2、掌握触发器的设计与应用 3、掌握移位寄存器的原理与应用 4 熟悉集成电路的引脚排列; 5 掌握芯片的逻辑功能及使用方法; 6 了解序列产生及检测器的组成及工作原理 7 会在EWB软件上进行仿真; 二实验器件 1、移位寄存器74LS194 1片 2、负边沿JK触发器74LS112 1片 3四输入与非门74LS20 1片 4、六输入非门74LS05 1片 5 电源一个 6 地线一个 7 与门,或门,非门若干个 8 时钟脉冲一个 三设计思路 1作原始状态表。根据给定的电路设计条件构成原始状态表和状

态转化图 2状态表的简化。原始状态表通常不是最小化状态表,它往往包括多余的状态,因此必须首先对它进行简化。 3状态分配。即对简化后的状态给以编码。这就要根据状态数确定触发器的数量并对每个状态指定一个二进制数构成的编码。 4根据给定的电路设计条件选择触发器根据 5 作激励函数和输出函数。根据选用的触发器激励表和电路的状态表,综合出电路中各触发器的激励函数和电路的输出函数。 ⑸6画逻辑图,并检查自启动功能 四设计过程 (一)101101001信号发生器的设计 设计一个信号序列发生器,在产生的信号序列中,含有“1011”信号码,要求用一片移位寄存器,生成信号序列“10110100”,其中含有1011码,其设计按以下步骤进行:、、 1本实验所用仪器为移位寄存器74LS194,确定移存器的位数n。因M=9,故n≥4,用74LS194 的四位。 2确定移存器的九个独立状态。将序列码101101001按照每四位一组,划分为九个状态,其迁移关系如下所示: 3作出状态转换表及状态转换图如下:

八位序列检测器设计

八位序列检测器设计 班级:1302012 学号: 姓名:郭春晖

一、设计说明 使用quartus软件进行仿真和验证,并且还可以检测其他的序列,只需要修改一部分代码就可以实现。 二、方案 工作原理:基于FPGA的多路脉冲序列检测器的设计方案,使用VHDL语言设计时序逻辑电路,先设计序列发生器产生序列:01010;再设计序列检测器,检测序列发生器产生序列,若检测到信号与预置待测信号相同,则输出“1”,否则输出“0”,并且将检测到的信号的显示出来。 三、单元模块设计 1、序列信号发生器 序列信号是指在同步脉冲作用下循环地产生一串周期性的二进制信号。利用状态机设计,首先定义一个数据类型FSM_ST它的取值为st0到st15的16个状态。 序列信号发生器的代码如下:

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY SHK IS PORT (CLK,RST :IN STD_LOGIC; CO :OUT STD_LOGIC ); END SHK; ARCHITECTURE behav OF SHK IS TYPE FSM_ST IS (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15); SIGNAL REG:FSM_ST; SIGNAL Q:STD_LOGIC; BEGIN PROCESS(CLK,RST) BEGIN IF RST ='1' THEN REG<=s0;Q<='0'; ELSIF CLK'EVENT AND CLK='1' THEN CASE REG IS WHEN s0=> Q<='1'; REG<=s1; WHEN s1=> Q<='0';REG<=s2; WHEN s2=> Q<='1';REG<=s3; WHEN s3=> Q<='1';REG<=s4; WHEN s4=> Q<='0';REG<=s5; WHEN s5=> Q<='1';REG<=s6; WHEN s6=> Q<='0';REG<=s7; WHEN s7=> Q<='0';REG<=s8; WHEN s8=> Q<='0';REG<=s9; WHEN s9=> Q<='1';REG<=s10; WHEN s10=> Q<='1';REG<=s11; WHEN s11=> Q<='0';REG<=s12; WHEN s12=> Q<='1';REG<=s13; WHEN s13=> Q<='0';REG<=s14;

序列检测器的一种简化实现算法

第8卷第6期石家庄学院学报Vol.8,No.62006年11月JournalofShijiazhuangUniversityNov.2006序列检测器的一种简化实现算法 李俊红,解建军 (河北师范大学数学与信息科学学院,石家庄050016) 摘要:分析了序列检测器的内部原理,给出它的一种新硬件实现.利用它无需对状态图进行状态化简,极大地简化了时序线路的设计.最后结合具体实例说明了该设计思想的详细步骤和具体实现方法. 关键词:子串;主串;序列检测器 中图分类号:TP16文献标识码:A文章编号:1673-1972(2006)06-0063-03 1序列检测器原理 序列检测是指将一个指定的序列从数字流中识别出来,或在主串中查询相应子串,一般可以通过软件方法或时序电路即硬件方法实现.有关软件实现方法的研究可参见文献[1],本文主要针对时序电路进行讨论.用硬件方法实现序列检测器时,检测器中存储模式串,主串可以通过输入端流入检测器[2,3].在主串的输入过程中,检测器可以动态检测子串.检测器利用时序线路记忆已检测出的有效序列,并与自身所含的模式串进行比对,若检测成功,输出端自动输出成功标记[4].设计一个“11100”序列检测器,当识别到一组序列时,输入一个高电平.由于采用时序线路,主串的内容应每给一个上升沿或下降沿输入一位,具体应视所选触发器类型而定. 我们提出一种新硬件实现方法,在该方法中对每一个状态都根据实际意义给予特殊的含义,具体含义在后面的实例中再加以说明,由于不存在重复状态,故最终的状态图不用化简. 序列检测器的初态是指被检序列的第一位出现前的特定状态,此状态后如果输入的代码对检测有效(即被测序列的第一位),则相应次态为新的状态(第2个状态,它记住了被测序列的第一位),否则相应次态仍为初态.第2个状态是指被检序列的第一位出现后的特定状态,此状态后如果输入的代码对检测有效,(即被测序列的第2位)则相应次态为新的状态(第2个状态,它记住了被测序列的前2位),否则判断最近输入的代码是否是被检序列的第一位,是则相应次态仍为第2个状态,否则相应次态为初态.以次类推,第i个状态记住了被检序列的前i-1位,相应次态确定方法如下: 假设序列长度为n,当i<n时,如果第i个状态后输入的一位代码是被检序列的第i位,则次态为新的状态(记住了被检序列的前i位),否则次态按如下规则选择:从初态开始输入的i位代码中如果其中的后i-j位为被检序列的前i-j位,则次态为第i-j+1个状态(j=1,2,...,i-1,找到次态即停止),否则次态为初态.此时所有的外输出均为‘0’. 当i=n时,第n个状态已经记住了被检序列的前n-1位,此状态后输入的一位代码如果是被检序列的第n位,则外输出为‘1’,否则外输出为‘0’,其次态按如下规则选择:从初态开始输入的n位代码中如果其中的后n-j位为被检序列的前n-j位,则次态为第i-j+1个状态(j=1,2,...,n-1,找到次态即停止),当j=n时,次态为初态. 按上述方法构造的原始状态转移图中恰好含n个状态,且每个状态都有确定的含义,避免了其设计过程中,构造原始状态转移图繁杂,化简原始状态转移图麻烦的弊端,设计时既逻辑清晰,又不用化简,从而极大地简化了该类线路的设计. 收稿日期:2005-12-09 基金项目:河北省石家庄市科学研究与发展计划项目(05213570);河北师范大学青年基金资助(L2005Q02) 作者简介:李俊红(1971-),女,山西运城人,河北师范大学数学与信息科学学院讲师,硕士,研究方向:并行逻辑模拟,计算机系统结构.

实验四8序列检测器的设计

实验四序列检测器的设计 一、实验目的 1)了解序列检测器的工作原理 2)熟悉MAX+plusII软件的基本使用方法 3)熟悉EDA实验开发的基本使用方法 4)学习VHDL程序中数据对象,数据类型,顺序语句,并行语句的综合使用 二、实验内容 设计一个序列检测器,当序列检测器连续收到一组串行的二进制码后,如果这组码与检测器中预先设置的码相同,则输出1,否则输出0。要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同,在检测过程中,任何一位不相等都将回到初始状态重新开始检测。 三、实验条件 开发软件:MAX+plus II 9.23 Baseline 硬件设备:装有windows7的pc机 四、实验设计 1)系统的原理框架图

2)VHDL源程序 chk.vhd源代码 library ieee; use ieee.std_logic_1164.all; entity chk is port(din: in std_logic; clk,clr:in std_logic; d:in std_logic_vector(7 downto 0); ab:out std_logic_vector(3 downto 0)); end entity chk; architecture art of chk is signal q:integer range 0 to 8; begin process(clk,clr)is begin if clr='1'then q<=0; elsif clk'event and clk='1'then case q is when 0=> if din=d(7)then q<=1;else q<=0;end if; when 1=> if din=d(6)then q<=2;else q<=0;end if; when 2=> if din=d(5)then q<=3;else q<=0; end if; when 3=> if din=d(4)then q<=4;else q<=0; end if; when 4=> if din=d(3)then q<=5;else q<=0; end if; when 5=> if din=d(2)then q<=6;else q<=0; end if; when 6=> if din=d(1)then q<=7;else q<=0; end if; when 7=> if din=d(0)then q<=8;else q<=0; end if; when others => q<=0; end case; end if; end process; process(q)is begin if q=8 then ab<="1010"; else ab<="1011"; end if; end process; end architecture;

实验报告的设计和填写

实验报告的设计和填写 实验报告的设计能够从以下几方面来做:先考虑用物理方法,然后考虑化学方法, 先简单,后难,也能够物理和化学方法共同结合使用。 看颜色:例如氯化铁,氯化铜,氯化钠三种溶液就能够根据溶液颜色的不同来做。 闻气味:例如酒精,白醋,盐水三种不同的液体就能够根据物质气味的不同实行设计。 看溶解性:三种白色的粉末碳酸钙,氯化钠,硫酸铜就能够根据物质溶于水后的不同现象来做。 二.化学方法:任选试剂:(1)有盐酸,氢氧化钠溶液,水三种无色的液体就能够根据物质的酸碱性不同用石蕊试液或者测量PH就能够检验出来,请完成下题。 (2)两种碱一种酸能够考虑加入碳酸钠就能够一步到位。 请设计实验方案:任选一种试剂鉴别出氢氧化钙,氢氧化钠,稀盐酸三种无色的液体

2.实验室有几瓶失去标签的液体,分别是硫酸铜溶液,氢氧化钠溶液,氯化镁溶液和水,不用其它试剂,

三.有时也能够考虑物理和化学方法相结合,一般先考虑用物理方法,在考虑用化学方法。 现需要鉴别三包失去标签的白色固体粉末,可能是碳酸钙,碳酸钠和硫酸钠,现在要鉴别它们,请设计方 练习:1.实验室中有失去标签的四瓶无色的溶液:氯化镁,氯化钠,盐酸,氢氧化钠,现实验桌上只有一 2.某化学小组的同学围绕澄清的石灰水与碳酸钠溶液反应后的溶液中的溶质成分展开如下探究活动。(1)完成澄清石灰水与碳酸钠反应的化学方程式:。 (2)请设计实验,探究反应后的溶液中的溶质成分。 提出假设:假设1:有氢氧化钠和碳酸钠;假设2:有氢氧化钠和氢氧化钙;假设3: 。 某同学取少量溶液于试管中,加入过量的稀盐酸,发现无气泡产生。说明假设是不成立的。

8位序列检测器的设计

八位序列检测器设计 摘要:序列检测器多用于通信系统中对禁用码的检测,或者是对所需信号的提取,即一旦检测到所需信号就输出高电平,这在数字通信领域有广泛的应运。本文介绍了一种采用单片PGA 芯片进行脉冲序列检测器的设计方法,主要阐述如何使用新兴的EDA 器件取代传统的电子设计方法,利用FPGA 的可编程性,简洁而又多变的设计方法,缩短了研发周期,同时使设计的电路体积更小功能更强大。本次课程设计设计出能够检测序列“”的序列检测器,并以此来描述序列检测器的设计过程和基于FPGA 的软件仿真。最后通过QuartusII 的波形输出对设计方案进行检测,在硬件调试经检测输出正确设计符合要求。 关键词: VHDL 序列检测QuartusⅡFPGA Abstract:Sequence detector system used for communication on the detection code disabled, or is the extraction of the desired signal, that is, once detected, the required high output signal, which in the broad field of digital communications to be transported. This paper presents a single FPGA chip with the detector pulse sequence design method, mainly on how to us e new device to replace the traditional EDA electronic design, the use of FPGA's programmability, concise and changing the design method shortens the development cycle, while allowing smaller circuit design and more powerful. The curriculum is designed to detect sequence "" sequence detectors, and detector in order to describe the sequence of the design process and FPGA- based software simulation. Finally, the output of the waveform QuartusII design testing, debugging the hardware design has been tested and meet the requirements of the correct output. Keywords:VHDL Sequence detection QuartusⅡFPGA

110序列检测器的设计及仿真实现

题目:设计110序列检测器,当输入信号时输 出,否则 一、设计思路 我们采用Moore机完成这个功能。对于触发器的选择,为了简便我们选用D触发器以及基本的门电路完成基本设计。 二、时钟同步状态机 1根据题目要求我们得到下面的状态图 状态表示的意义Q X=0 X=1 输出Z 等待1的出现 A A B 0 出现1 B A C 0 出现11 C D C 0 出现110 D A B 1 * Q 2 转移输出表 01 Q Q输入X 输出Z X=0 X=1 00 00 01 0 01 00 11 0 11 10 11 0

10 00 01 1 01Q Q * * 3 状态图如图: 通过卡诺图化简可得 转移方程: 00111=Q Q Q Q X Q X * * += 输出方程:01 Z Q Q ? = 我们选择D 触发器作为记忆电路部分 由D 触发器的特征方程: Q D * = 得激励方程: 00111D =Q Q Q X D X += 三、V erilog 程序如下: module shiyan2 (clk,x,z); input clk,x; output z; wire[1:0] state;

wire[1:0] excite; nextlogic u1(x,state,excite); statememory u2(clk,excite,state); outputlogic u3(state,z); endmodule module statememory (clk,d,q); input clk; input[1:0] d; output[1:0] q; reg[1:0] q; always @ (posedge clk) begin q <= d; end endmodule module nextlogic (x,q,d); input x; input[1:0] q; output[1:0] d; assign d[0]=(q[1]&q[0])|(q[1]&x); assign d[1]=x; endmodule

回转器电路设计(完整版,包括pspice仿真电路以及实验数据)

南京航空航天大学电路实验报告 回转器电路设计 姓名:李根根 学号:031220720 指导老师:王芸

目录 一、实验目的 (2) 二、实验仪器 (2) 三、实验原理 (2) 四、实验要求 (3) 五、用pspice软件进行电路仿真并分析 (5) 六、实验内容 (9) 七、实验心得 (11) 八、附件(Uc – f 图) (12)

一、实验目的 1.加深对回转器特性的认识,并对其实际应用有所了解。 2.研究如何用运算放大器构成回转器,并学习回转器的测试方法。 二、实验仪器 1.双踪示波器 2.函数信号发生器 3.直流稳压电源 4.数字万用表 5.电阻箱 6.电容箱 7.面包板 8.装有pspice软件的PC一台 三、实验原理 1.回转器是理想回转器的简称。它是一种新型、线性非互易的双端口元件,其电路符号如图所示。其特性表现为它能够将一端口上的电压(或者电流)“回转”成另一端口上的电流(或者电压)。端口变量之间的关系为 I1 = gu2 u1 = -ri2 I2 = gu1 u2 = ri1

式子中,r,g称为回转系数,r称为回转电阻,g称为回转电导。 2.两个负阻抗变换器实现回转器 图中回转电导为: 四、实验要求 先利用pspice软件进行电路仿真,(提示:仿真时做瞬态分析,信号源用Vsin ,做频率分析时,信号源用VAC)然后在实验室完成硬件测试: 1.用运算放大器构成回转器电路(电路构成见实验教材p216图9-24,其中电阻R的标称值为1000Ω),测量回转器的回转电导。 2.回转器的应用——与电容组合构成模拟电感。

3.用电容模拟电感器,组成一个并联谐振电路,并测出谐振频率以及绘制其Uc~f幅频特性曲线。 具体要求: 1.回转器输入端接信号发生器,调得Us=1.5V(有效值),输出端接负载电阻RL=200Ω,分别测出U1、U2及I1,求出回转电导g。 试回答改变负载电阻以及频率的大小对回转电导有何影响? 2.回转器输出端接电容,C分别取0.1μF和0.22μF,用示波器观察频率为500Hz、1000Hz 时U1和I1的相位关系,解释模拟电感是如何实现的。 要求画出测试U1和I1的相位关系的接线图,并用坐标纸分别画出两个不同C值时的U1和I1波形,记录其相位关系。说明模拟电感的实现与频率的大小有何关系。 3.用C1回转后的模拟电感作并联谐振电路,谐振频率f0取1000Hz左右,确定C和C1的大小,信号源输出电压保持Us=1.5V(有效值)不变,改变频率(200Hz~2000Hz)测量Uc的值,同时观察us和uc的相位关系。(要求串联一取样电阻1kΩ) 预习要求: 1.画出设计任务中完整的电路接线图,明确I1的测量方法,建议取样电阻取1kΩ。2.电容不要取大于1μF的电解电容,以免误差大。 报告要求: 1.提交一份电路仿真实验报告。 2.现场整理测试数据和图表,与仿真结果比较,给出比较详细的分析和说明。

课程设计------序列检测器

电子课程设计 ------序列检测器 学院: 专业班级: 姓名: 学号: 指导老师: 2012年12月

目录 一、设计任务与要求 (1) 二、总体框图 (1) 三、选择器件 (1) 四、功能模块 (1) 1、脉冲发生器 (1) 2、序列检测器 (2) 3、分频器 (3) 五、总体设计电路图 (5) 1、总体电路原理图 (5) 2、Q UARATU SII的仿真结果图与分析 (5) 3、管脚分配 (6) 4、E DA实验箱验证 (6)

序列检测器 一、任务与要求 设计一个序列检测器,在上升沿的作用下,输入一组二进制码,与预先设置的吗“11100101”一致时,输出A,不同时则输出B,(在检测过程中,任何一位不相等都将回到初始状态重新开始检测。) 二、总体框图 脉冲发生器:为检测器提供脉冲。 检测器:具有存储功能。 数码显示器:显示输出A或B 方案:设计手动的脉冲发生器为检测器提供脉冲,使其正常工作,然后设计检测器存储的数字为“11100101”再用译码器使其显示在数码管上,这就要求检测器必须记住前一次的正确吗及正确序列,直到在连续的检测中所收到的每一位吗与预置数的对应码相同,否则重新开始检测。 三、选择器件 芯片:EDA实验箱中EP1C12核心板;七段数码管等。 外围电路:将IO_CLK用导线连接到IO3上,将IO9,IO10用导线连接到两个LED灯上,接上电源下载完成即可验证。 四、功能模块 1.脉冲发生器 VHDL程序: LIBRARY ieee; use ieee.std_logic_1164.all; entity pulse is port(pul,M: in std_logic; nq,q: out std_logic --VGA:out std_logic_vector(3 downto 0) ); end pulse; architecture a of pulse is signal temp: std_logic; begin --VGA <= "0001";' q<=temp; nq<=not temp; process(m)

序列检测器的设计实验报告

班级:生物医学工程141班姓名:刘玉奔学号:6103413018 设计性实验项目名称序列信号发生和检测器设计 (一)实验目的 1、进一步熟悉EDA实验装置和QuartusⅡ软件的使用方法; 2、学习有限状态机法进行数字系统设计; 3、学习使用原理图输入法进行设计。 (二)设计要求 完成设计、仿真、调试、下载、硬件测试等环节,在EDA实验装置上实现一个串行序列信号发生器和一个序列信号检测器的功能,具体要求如下: 1、先用设计0111010011011010序列信号发生器,其最后6BIT数据用LED显示出来; 2、再设计一个序列信号检测器,检测上述序列信号,若检测到串行序列“11010”则 输出为“1”,否则输出为“0”; 3、检查检测01011,即将发生的序列最后五位改为01011,为0111010011001011 (三)主要仪器设备 1、微机1台 2、QuartusII集成开发软件1套 3、EDA实验装置1套 (四)实验步骤 主要有三个模块 1:一个设计序列信号发生器 2:一个设计序列信号检测器 3:综合两个设计,通过对模块的调用达到最终效果 (五)实验数据 --设计时间:2016.10.29 --设计者:刘玉奔 --设计内容:1、先用设计0111010011001011序列信号发生器,其最后6BIT数据用LED 显示出来; --2、再设计一个序列信号检测器,检测上述序列信号,若检测到串行序列“01011”则输出

为“1”,否则输出为“0”; --序列信号发生器部分 LIBRARY IEEE;--声明IEEE库 USE IEEE.STD_LOGIC_1164.ALL;--允许使用IEEE中程序包STD_LOGIC_1164 ENTITY serialsignalgenerator IS PORT(CLK,RST:IN STD_LOGIC; CO:OUT STD_LOGIC; LED0,LED1,LED2,LED3,LED4,LED5:OUT STD_LOGIC); END behav; 得到symbol file: 序列信号检测器: LIBRARY IEEE;--声明IEEE库 USE IEEE.STD_LOGIC_1164.ALL;--允许使用IEEE中程序包STD_LOGIC_1164 ENTITY serialsignaltest IS PORT(CLK,DIN,CLR:IN STD_LOGIC; SS:OUT STD_LOGIC; LED0,LED1,LED2,LED3,LED4:OUT STD_LOGIC);

仪器分析设计实验实验报告

气相色谱法测定异丙醇 赵宏2011051780 应用化学 一、实验目的 1.了解气相色谱法的分离原理和特点 2.熟悉气相色谱仪的基本构造和一般使用方法 二、实验原理 气相色谱法是一种高效、快速而灵敏的分离分析技术。当样品溶液由进样口注入后立即被汽化,并载气带入色谱柱,经过多分配而得以分离的各个组分逐一出色谱柱进入检测器,检测器把各组分的浓度信号转变成电信号后由记录仪或工作站软件记录下来,得到相应信号大小随时间变化的曲线即色谱图。利用色谱峰的保留值可以进行定性分析,利用峰面积或峰高可以进行定量分析。 内标法是一种常用的色谱定量分析方法。在一定量(m)的样品中加入一定量(m is )的内标物。根据待测组分和内标物的峰面积及内标物的质量计算计算待测组分质量(m i )的方法。被没组分的质量分数可用下式计算: P i = %100%100m m i i ??=?m m A f A is is i 式中,A i 为样品溶液中待测组分的峰面积,A is 为样品溶液中内标物的峰面积;m is 为样品溶液中内标物的质量;m 为样品的质量;f i 为待测组分i 相对于内标物的相对定量因子,由标准溶液计算: f i = is i is i is is i i A A m A A m m m f f is i ''''=''?''='' 式中,i A '为标准溶液中待测组分i 的峰面积;is A '为标准溶液中内标物的峰面积;is m '为标准溶液中内标的质量;i m '为标准溶液中标准物质的质量。 用内标法进行定量分析必须选定内标物。内标物必须满足以下条件: 1.就是样品中不存在的、稳定易得的纯物质; 2.内标峰应在各待测组分之间或与相近; 3.能与样品互溶但无化学反应; 4.内标物浓度应恰当,峰面积与等测组分相差不大。 三、实验仪器 气相色谱仪带有氢火焰检测器(FID )和色谱工作站,微量注射器,无水异丙醇(A.R.)无水正丙醇(A.R.),待测液。 四、实验步骤 根据文献资料、理论计算及实验操作,实验小组得出以下色谱操作的最佳条件: 柱温,104度;汽化室温度,160度;检测器温度,140度;N 2(载气)流速,15 mL/min ;H 2流速,50 mL/min ;空气流速,600 mL/min 。其中内标物为正丙醇。 定量标准溶液的配制:准确移取0.50mL 无水异丙醇和0.50mL 正丙醇于10mL 容量瓶中,用乙醚定容,摇匀。

设计一个的序列检测器完整版

设计一个的序列检测器 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

二、设计一个1010的序列检测器,检测到1010时输出为“1”否则为“0”,用D触发器实现。 第一步:根据要求进行逻辑抽象,得出电路的原始状态转换图和状态转换表。 取输入数据变量为X,检测的输出变量为Z, 该同步时序逻辑电路的功能是检测输入序列是否为1010,一旦输入序列出现一个1就记下来,因为这个1可能是1010序列的第一个1,;接着看输入是否为0,因为10是序列1010的前两位;其次再看输入是否为1,因为101是1010序列的前三位;最后再输入一个0,输出则为1,因为出现了一个1010序列,泽电路必须记住1,10,101,1010四种输入情况,每一种输入情况应与电路的一个状态相对应。 根据题意,设电路随机的输入和输出序列为: X: 0 1 0 1 0 0 0 1 0 1 0 1 0…… Z: 0 0 0 0 1 0 0 0 0 0 1 0 0…… 该电路仅有一个输入端,每个现态有两个可能转移方向,设电路初态为 S 0,当X=0时,电路仍处在状态S ,当输入一个1以后的状态为S 1 ,输入10以后的状 态为S 2,输入101以后的状态为S 3 ,输入1010以后的状态为S 4 。以S n表示电路的现 态,S n+1表示电路的次态。 由此得出原始状态转换图和原始状态转换表:

依据状态等效条件判断得出S 0和S 4 在相同的输入条件下,它们转换到相同的 次态去,且有相同的输出,故S 0和S 4 等效,经分析比较,找出最大等效类:{S 1 }, {S 2},{S 3 },{S ,S 4 }。 由此得出化简的状态转换图和最简状态表: 最贱状态表共有四种状态,可用两位二进制代码来表示,设状态变量为Q 1 , Q 2,依据状态编码原则,确定S =00,S 1 =01,S 2 =11,S 3 =10四种状态,其编码后的状态 转换图和状态转换表:

辉光盘实验报告设计

辉光盘实验报告设计 一、实验目的 观察平板晶体中的高压辉光放电现象。 二、实验仪器 辉光盘演示仪 三、实验原理 闪电盘是在两层玻璃盘中密封了涂有荧光材料的玻璃珠,玻璃珠间充有稀薄的惰性气体(如氩气等)。控制器中有一块振荡电路板,通过电源变换器,将12V低压直流电转变为高压高频电压加在电极上。 通电后,振荡电路产生高频电压电场,由于稀薄气体受到高频电场的电离作用二产生紫外辐射,玻璃珠上的荧光材料受到紫外辐射激发而发出可见光,其颜色由玻璃珠上涂敷的荧光材料决定。由于电极上电压很高,故所发生的光是一些辐射状的辉光,绚丽多彩,光芒四射,在黑暗中非常好看。 四、实验步骤 1.将闪电盘后控制器上的电位器调节到最小; 2.插上220V电源,打开开关; 3.调高电位器,观察闪电盘上图像变化,当电压超过一定域值后,盘上出现闪光; 4.用手触摸玻璃表面,观察闪光随手指移动变化; 5.缓慢调低电位器到闪光恰好消失,对闪电盘拍手或说话,观察辉光岁声音的变化。 五、注意事项 1.闪电盘为玻璃质地,注意轻拿轻放; 2.移动闪电盘时请勿在控制器上用力,避免控制器与盘面连接断裂; 3.闪电盘不可悬空吊挂。

实验报告要求: 学生在完成实验报告时,需要写出所观察到的实验现象及实验感悟。 个人对演示实验的认识: 演示实验形象直观,能够引起学生的学习兴趣,同时演示实验能激发学生对实验的思考。学生学习的特点就是好奇心强,所以作为老师应根据学生这一认知特点,在物理教学中恰当进行演示实验,激发学生学习的好奇心和兴趣。演示实验留下的印象远比单纯的讲解要深得多。比如这个辉光盘实验能使学生了解平板晶体中的高压辉光放电的原理,通电后,由于稀薄气体受到高频电场的电离作用二产生紫外辐射,玻璃珠上的荧光材料受到紫外辐射激发而发出可见光,其颜色由玻璃珠上涂敷的荧光材料决定,由于电极上电压很高,故所发生的光是一些辐射状的辉光,绚丽多彩,光芒四射,在黑暗中非常好看。

电子产品设计实验实验报告

姓名:张键班级:电子1202学号:201215034设计题目:红外防盗报警系统 一、设计意义: 随着社会经济的飞速发展和人民物质生活水平的不断提高,人们对其住宅的要求也越来越高,表现在不仅希望拥有舒适、温馨的住所,而且对其安全性、智能性等方面也提出了更高的要求。随着流动人口迅速增加,盗窃、入室抢劫等刑事案件也呈现出了增长趋势,并且危害越来越严重,人们越来越渴望有一个安全生活的空间,但是犯罪分子的作案手段越来越高明,他们甚至采用一些高科技的作案手段,使得以往那种依靠安装防盗门窗、或靠人防的防范方式越来越不能满足人们日常防范的要求;人们迫切需要一种智能型的家庭安全防范报警系统,及时发现各种险情并通知户主,以便将险情消灭在萌芽状态,保证居民的生命财产不受损失。 目前,国内市场上的防盗报警器系统大部分是国外品牌,国内防盗报警器产品厂商发展时间比较短,真正取得长足发展也是在2000年以后,特别是在2004年国内有些厂商迅速成长,投资规模和企业规模都在迅速发展和扩大。但是与国外厂商相比还有很大差距。现阶段,大部分工程商安装防盗报警产品时倾向于国外品牌,其中,安装的国外产品主要来自于美国、日本和韩国,这三个国家的产品占据我国报警市场的近80%的份额。这主要是因为,在产品供给市场上,绝大部分国外品牌来自美国和日韩,防盗报警产品在这些国家的发展已

经非常成熟,产品功能稳定,性能完善,再加上进入我国是时间较早,所以在我国市场上占有相当大的份额。因此我做这个产品的目的在于,使每个人都能用上性价比好的产品,让更少的人受到财产的损失。 二、工作原理: 在门的边框上,安装红外对射管,用以检测是否有人通过。在门钥匙处有一个触发开关,用来判断是否是正常开门。当门钥匙没有打开,而且有人通过时,也就是非正常进入,红外对管没有检测到信号,输入高电平到单片机,单片机输出信号到蜂鸣器和红色的LED灯,同时LCD1602显示“W ARING!THE THIEF ARE COMING”,告诉用户有小偷闯入,提醒注意,只有通过按下复位开关警报才可以解除。当钥匙打开门,并且有人通过时,也就是正常开门,单片机输出信号到绿色LED灯上,同时LCD1602上显示“SAFETY WELCOME MASTER”告诉用户是正常开门,欢迎回来。 三、系统硬件设计: 1)关键器件介绍: 1.LCD1602简介: 1602液晶也叫1602字符型液晶,它是一种专门用来显示字母、数字、符号等的点阵型液晶模块。它是由若干个5x7或者5x11等点阵字符位组成,每个点阵字符位都可以显示一个字符,每位之间,有一个点距和行间的作用,正因为如此所以它不能很好地显示图形。LCD1602是指显示的内容为16*2,即可以显示两行,每行16个字符液晶模块。

相关文档