文档库 最新最全的文档下载
当前位置:文档库 › 广工EDA数字逻辑课后习题答案

广工EDA数字逻辑课后习题答案

广工EDA数字逻辑课后习题答案
广工EDA数字逻辑课后习题答案

广工数字逻辑实验八

__计算机__学院__软件工程__专业__班__组、学号__ 姓名_______协作者______________教师评定_________________ 实验题目__第八次实验——基于Libero的数字逻辑设计仿真及验证实验__ 1、熟悉SmartDesign工具的使用 2、综合实验的设计、仿真、程序烧录及验证

实验报告 一、实验目的 1、了解基于Verilog的组合逻辑电路的设计及其验证。 2、熟悉利用EDA工具(特别是SmartDesign)进行设计及仿真的流程。 3、学习利用SmartDesign对全加器进行VerilogHDL设计的方法。 4、熟悉实验箱的使用和程序下载(烧录)及测试的方法。 二、实验环境 1、Libero仿真软件。 2、DIGILOGIC-2011数字逻辑及系统实验箱。 3、Actel Proasic3 A3P030 FPGA核心板及Flash Pro4烧录器。 三、实验内容 1、跑马灯设计 设计要求: 共8个LED灯连成一排,用以下3种模式来显示,模式选择使用两个按键进行控制。 (1)模式1:先点亮奇数灯,即1、3、5、7灯亮,然后偶数灯,即2、4、6、8灯亮,依次循环,灯亮的时间按时钟信号的二分频设计。 (2)模式2:按照1、2、3、4、5、6、7、8的顺序依次点亮所有灯;然后再按1、2、3、4、5、6、7、8的顺序依次熄灭所有灯,间隔时间按时钟信号的八分频设计。 (3)模式3:按照1/8、2/7、3/6、4/5的顺序依次点亮所有灯,每次同时点亮两个灯;然后再按1/8、2/7、3/6、4/5的顺序熄灭相应灯,每次同时熄灭两个灯,灯亮的时间按时钟信号的四分频设计。 (4)模式4:自定义。 2、四位数码管扫描显示电路的设计 设计要求: 共4个数码管,连成一排,要求可以显示其中任意一个数码管。具体要求如下:(1)依次选通4个数码管,并让每个数码管显示相应的值,其结果由相应输入决定。 (2)要求能在实验箱上演示出数码管的动态显示过程。必须使得4个选通信号DIG1、DIG2、DIG3、DIG4轮流被单独选通,同时,在段信号输入口加上本人学号的后四位数据,这样随着选通信号的变化,才能实现扫描显示的目的(经验数据为扫描频率大于等于50Hz)。

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

2003年广工研究生入学物理化学试题

广东工业大学 2003年研究生入学物理化学试题 考试科目:物理化学科目编号:423 招生专业:应用化学、环境工程 注:考生必须在答题纸上答题(含填充题、选择题),答完后连同本试题一并交回。 一、单项选择题(30分) 1、一定量的理想气体由同一始态出发,分别经恒温可逆膨胀和绝热可逆膨胀到相同的终态压力为P时,终态体积有()。 A V恒温=V绝热; B V恒温V绝热; D 无法判定。 2、对于焓H的描述下列哪点是不确切的()。 A 焓H是状态函数; B 在无非体积功的封闭体系内的恒压过程?H=Q P; C 焓的改变值?H的符号不能作为过程自发方向的判据; D 在U、H、A、G几个函数中H的绝对值最大。 3、下列哪一个过程不能用?G作为过程自发方向和达平衡条件的判据()。 A 纯物质单纯P、V、T变化; B 两种和两种以上的物质恒温恒压混合; C 恒温恒压非平衡条件下的相变化过程;D恒温恒压下不作电功的化学变化过程。 4、恒压下纯气体物质的吉布斯函数G随温度的升高而()。 A 增加; B 降低; C 不变; D 不能判定。 5、对于均相封闭系统(?G/?P)T 等于( ). A (?G/?T)P ; B (?U/?S)V; C (?A/?V)T; D (?H/?P)S。 6、乙醇比水易挥发,将少量乙醇溶于水形成稀溶液,下列说法中何者是正确的()。 A 溶液的蒸汽压必低于同温下水的饱和蒸汽压; B 溶液的沸点比高于相同压力下水的沸点; C 溶液的凝固点必低于相同压力下水的凝固点; D 平衡气相中乙醇的摩尔分数小于液相中乙醇的摩尔分数。 7、将不挥发性溶质甲、乙分别溶于水形成稀溶液,若甲的水溶液的凝固点低于乙的水溶液的凝固点,则甲的水溶液的沸点和乙的水溶液的沸点有()。 A 乙的高; B 甲的高; C 一样高; D 无法比较。 8、理想气体反应;N2O4(g)=2NO2(g)在某温度达平衡后,在恒压下向系统加入惰性气体,平衡转化率()。 A提高;B 降低;C 不变;D无法确定。 9、在10ml、1mol·L-1的KOH溶液中加入1ml水,其电导率к如何变化( )。 A增大;B 减小;C 不变 D 无法判定。 10 某电池的电动势随温度升高而降低,则电池放电时的焓变的符号为()。 A ?r H m >0; B ?r H m <0; C ?r H m =0; D 无法判定。 11、电解金属盐的水溶液时在阴极上()。 A 平衡还原电势与超电势之和越正的金属越易析出;B平衡还原电势越正的金属越易析出;C平衡还原电势与超电势之和越负的金属越易析出;D平衡还原电势越负的金属越易析出。 12、对于反应2A→C+D,反应物浓度降为初始浓度一半需时间20min,降为1/4需时间60min,该反应为()。 A 零级反应; B 一级反应; C 二级反应; D 三级反应。

《测试技术》(第二版)课后习题答案-_

《测试技术》(第二版)课后 习题答案-_ -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

解: (1) 瞬变信号-指数衰减振荡信号,其频谱具有连续性和衰减性。 (2) 准周期信号,因为各简谐成分的频率比为无理数,其频谱仍具有离 散性。 (3) 周期信号,因为各简谐成分的频率比为有理数,其频谱具有离散 性、谐波性和收敛性。 解:x(t)=sin2t f 0π的有效值(均方根值): 2 /1)4sin 41(21)4sin 41(21)4cos 1(212sin 1)(1000 00 00 00 000 020 2 000=-= - = -== =? ? ? T f f T T t f f T T dt t f T dt t f T dt t x T x T T T T rms ππππππ 解:周期三角波的时域数学描述如下:

(1)傅里叶级数的三角函数展开: ,式中由于x(t)是偶函数,t n 0sin ω是奇函数,则t n t x 0sin )(ω也是奇函数,而奇函数在上下限对称区间上的积分等于0。故 =n b 0。 因此,其三角函数展开式如下: 其频谱如下图所示: ? ????????+≤ ≤-≤≤- +=) (2 02022)(0000 0nT t x T t t T A A t T t T A A t x 2 1)21(2)(12/0002/2/00000= -==??-T T T dt t T T dt t x T a ??-==-2/000 02 /2/00 000cos )21(4cos )(2T T T n dt t n t T T dt t n t x T a ωω?????==== ,6,4,20 ,5,3,14 2sin 422222n n n n n π ππ?-=2 /2 /00 00sin )(2T T n dt t n t x T b ω∑∞ =+=102 2 cos 1 4 21)(n t n n t x ωπ ∑∞ =++=102 2)2sin(1 421n t n n πωπ (n =1, 3, 5, …)

广东工业大学导师信息

姓名招 生 人 数 性 别 出生 年月 职称 学 位 最高学历毕业 院校、时间 主要研究方向(限填3 个) email电话 王 成勇3男教授 博 士 大连理工大 学,1989 模具高速加工及 CAD/CAM,精密超精密 加工理论、设备与工 具,超硬材料及纳米 材料工具 阎 秋生4男教授 博 士 天津大学、 磨削加工工艺、微细加 工、先进加工装备 郭 钟宁3男教授 博 士 香港理工大学 特种加工、微细加工、 加工过程检控 魏昕3女教授 博 士 华南理工大学 微电子材料精密超精 密精密加工技术,加工 过程监测技术,高能束 加工技术 袁慧1女 副教 授 大 学 吉林工业大 学,1977 难加工材料精密加工 与工具 马平2男教授 博 士 南京航天航空 大学/ 高速机床研究\数控技 术\智能监测与控制技 术研究 pingma@gdut 傅 惠南3男教授 博 士 日本神户大学 /1999 微纳米操作加工\微纳 米检测控制\超精密研 磨 张 永俊2男1966教授 博 士 南京航天航空 大学/94 特种加工技术\机器人 运动\动力学研究 (郭钟宁教授负 责) 姜 莉莉3女教授 博 士 莫斯科工业大 学,1998 制造过程信息化, CAD/CAM/PDM. 李 锻能2男 副教 授 学 士 1982年湖南大 学本科 机械制造装备、高速加 工、滑动轴承 高 伟强2男 副教 授 博 士 “Stankin” 莫斯科国立工 业大学 磁性研磨,先进制造装 备设计,CAD/CAPP/CAM 于1男副教学1982年北京精密加工、特种加工、

兆勤授士理工大学数控加工技术 (CAD/CAM) 肖 曙红2男 副教 授 博 士 华南理工大 学, 高速数控机床,直接驱 动控制,精密机械与数 字化设计 张 凤林1男 副教 授 博 士 华南理工大 学, 超硬材料工具制造 徐 晓东1男 研究 员 博 士 北京科技大 学,2000 射流加工理论与工艺 (王成勇教授负 责) 林 一松1 (王成勇教授负 责) 本帖最后由广工机 电于201 1-3-20 16: 55 编辑 姓名招 生 人 数 性 别 出生 年月 职称 学 位 最高学历毕业 院校、时间 主要研究方向(限填3 个) email电话 陈新4男教授 博 士 华中理工大学, CIMS与网络化制造,微 电子装备制造 郑 德涛1男教授 博 士 清华大学, CIMS与网络化制造,微 电子装备制造 吴 百海4男教授 学 士 中南建筑学院, 1964 机电液智能控制,海洋 机电工程

广工 EDA课程设计

i 课 程 设 计 课程名称___VHDL 与集成电路设计___ 题目名称___电子钟VHDL 设计______ 学生学院___物理与光电工程学院___ 专业班级___ __________ 学 号_____________ 学生姓名___ ______________ 指导教师_______________ 2014 年 12 月 19 日

目录 一、前言 (1) 1.1 EDA技术简介 (1) 1.2 EDA的发展前景 (1) 二、设计内容及要求 (1) 2.1设计内容 (1) 2.2 设计要求 (1) 2.3 实验目的 (2) 三、设计原理及框图 (2) 3.1设计原理 (2) 3.2 设计框图 (2) 四、模块程序设计 (4) 4.1 秒、分模块程序及仿真 (4) 4.2 时模块程序及仿真 (6) 4.3 消抖模块 (7) 4.4 顶层文件设计 (8) 五、调试 (11) 六、心得总结 (12) 参考文献 (12) ii

一、前言 1.1 EDA技术简介 电子系统设计自动化(EDA: Electronic Design Automation)已成为不可逆转的潮流,它是包含CAD、CAE、CAM等与计算机辅助设计或设计自动化等相关技术的总称。随着信息时代的到来,信息电子产品已不断地向系统高度集成化和高度微型化发展,使得传统的手工设计和生产技术无法满足信息产品的社会和市场需要,因此,人们开始借助于EDA技术进行产品的设计和开发。目前EDA 技术主要是以计算机软件工具形式表现出来的,对于现代复杂的电子产品设计和开发来说,一般需要考虑“自上而下”三个不同层次内容的设计(即:系统结构级设计,PCB板级设计和IC集成芯片级设计)。Protel DXP软件系统是一套建立在IBM兼容PC环境下的CAD电路集成设计系统,它是世界上第一套EDA环境引入到Windows环境的EDA开发工具,具有高度的集成性和可扩展性。本设计就是利用Protel DXP 进行原理图设计、PCB布局布线、进行电路仿真测试。通过本设计充分了解到Protel DXP的特点并且充分掌握了Protel DXP的设计系统的基础知识。 1.2 EDA的发展前景 随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前言之一。 由于在电子系统设计领域中的明显优势,基于大规模可编程器件解决方案的EDA技术及其应用在近年中有了巨大的发展,将电子发展技术再次推向了又一崭新的历史阶段。这些新的发展大致包含了这样6个方面:1.新器件;2.新工具软件;3.嵌入式系统设计;4.DSP系统设计;5.计算机处理器设计;6.与ASIC市场的竞争技术。 二、设计内容及要求 2.1设计内容 设计一个电子钟,要求可以显示时、分、秒,用户可以设置时间。 2.2 设计要求 ①设计思路清晰,整体设计给出框图,提供顶层电路图; ②应用vhdl完成各次级模块设计,绘出具体设计程序; 1

数字逻辑课后题讲解

第二章 组合逻辑 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: 这是一个余三码 至8421 BCD 码转换的电路 已知输入信号A,B,C,D 的波形如下图所示,选择适当的集成逻辑门电路,设计产生输出 F 波形的组合电路(输入无反变量) 解: 列出真值表如下: W= AB+ACD X = BC+BD+BCD Y = CD+CD Z = D )(D C A C B A D C B D B B A F 或+++=

9. 用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示有两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,并选出合适的集成电路来实现。 解: 设:三台设备分别为 A 、B 、C : “1”表示有故障,“0”表示无故障;红、黄、绿灯分别为Y1、Y2、Y3:“1”表示灯亮;“0”表示灯灭。据题意列出真值表如下: 于是得: 13.用一片4:16线译码器将8421BCD 码转换成余三码,写出表达式 解: C B A C B A Y C B A BC Y C B A Y ++==⊕+=⊕⊕=3) (21 )8,6,4,2,0(),,,()8,7,4,3,0(),,,()9,4,3,2,1(),,,()9,8,7,6,5(),,,(∑=∑=∑=∑=D C B A Z D C B A Y D C B A X D C B A W

设计二进制码/格雷码转换器 解:真值表 B 12A 2B 4:16线译码器 Y 6 Y 8 Y 2Y 4Y 3 Y 7Y 2Y 6

(完整版)测试技术课后题答案

1-3 求指数函数()(0,0)at x t Ae a t -=>≥的频谱。 (2)220 2 2 (2) ()()(2) 2(2)a j f t j f t at j f t e A A a j f X f x t e dt Ae e dt A a j f a j f a f -+∞ ∞ ---∞-∞-==== =-+++??πππππππ ()X f = Im ()2()arctan arctan Re ()X f f f X f a ==-π? 1-5 求被截断的余弦函数0cos ωt (见图1-26)的傅里叶变换。 0cos ()0 ωt t T x t t T ?≥的频谱密度函数为 1122 1()()j t at j t a j X f x t e dt e e dt a j a ∞ ∞ ----∞ -= == =++? ?ωωω ωω 根据频移特性和叠加性得: []001010222200222 000222222220000()()11()()()22()()[()]2[()][()][()][()] a j a j X X X j j a a a a j a a a a ??---+= --+=-??+-++?? --= -+-+++-++ωωωωωωωωωωωωωωωωωω ωωωωωωωω

广工EDA数字逻辑第5章

5.7 EDA开发综合实例3:SmartDesign的使用 在Libero中,除了可以编写程序实现相应设计外,还可通过可视化操作方式(“SmartDesign”软件),对现成的模块进行连线和拼装,实现特定的功能。 下例采用可视化方法实现1位全加器,再改造为2位串行进位加法器,操作过程既有通过编写代码建立模块,也有调用现成模块,还有通过IP核创建实例模块,并对多个模块进行拼装和测试。 5.7.1 使用半加器构造全加器 通过半加器来构造全加器的方法在4.7.3中讨论了,以下的模块及其连接均基于图4-24完成。 1.新建工程 打开Libero IDE,选择“Project”菜单的“New Project”命令,输入项目名称、选择项目存放路径,选择语言Verilog(如图5-62所示)。设备的选择同5.6中的实例2。 2.新建SmartDesign设计 在“Project Manager”中点击“SmartDesign”按钮(如图5-63),在弹出的对话框中输入设计名称,如图5-64所示。

工作区中会显示打开了“adders”设计的画布,但画布是一片空白,如图5-65所示。 3.添加半加器模块 点击“Project Flow”切换回项目流程,点击“HDL Editor”按钮,输入并新建Verilog 程序文件。如图5-66所示:

在打开的文件中输入半加器程序代码,代码同4.7.3中的半加器设计。 项目会把第一个建立的模块或设计作为“根”(Root),并加粗显示,如果项目中的根不是“adders”,则可在“Design Explorer”窗口中对着“adders”按右键,选择“Set As Root”进行修改。如图5-68所示:

数字逻辑(第六版 白中英)课后习题

第四章习题答案1.设计4个寄存器堆。 解: 寄存器组 2. 设计具有4个寄存器的队列。 解: 输入数据输出数据 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。

栈顶 SR 1 SR 2 SR 3 输入数据 输出数据 压入弹出 4.SRAM 、DRAM 的区别 解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM 拥有更高的密度,常常用于PC 中的主存储器。 SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。SRAM 常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM 采用行选通和列选通 解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM 实现二进制码到余3码转换 解: 真值表如下: 8421码 余三码 B 3B 2 B 1 G 3G 2G

机械工程测试技术课后习题答案

机械工程测试技术课后 习题答案 集团标准化小组:[VVOPPT-JOPP28-JPPTL98-LOPPNN]

第三章:常用传感器技术 3-1 传感器主要包括哪几部分?试举例说明。 传感器一般由敏感元件、转换元件、基本转换电路三部分组成。 如图所示的气体压力传感器。其内部的膜盒就是敏感元件,它的外部与大气压力相通,内部感受被测压力p ,当p 发生变化时,引起膜盒上半部分移动,可变线圈是传感器的转换元件,它把输入的位移量转换成电感的变化。基本电路则是完成上述电感变化量接入基本转换电路,便可转换成电量输出。 3-2 请举例说明结构型传感器与物性型传感器的区别。 答:结构型传感器主要是通过传感器结构参量的变化实现信号变换的。例如,电容式传感器依靠极板间距离变化引起电容量的变化;电感式传感器依靠衔铁位移引起自感或互感的变化。 物性型传感器则是利用敏感元件材料本身物理性质的变化来实现信号变换。例如,水银温度计是利用水银的热胀冷缩性质;压电式传感器是利用石英晶体的压电效应等。 3-3 金属电阻应变片与半导体应变片在工作原理上有何区别? 答: (1)金属电阻应变片是基于金属导体的“电阻应变效应”, 即电阻材料在外力作用下发生机械变形时,其电阻值发生变化的现象,其电阻的相对变化为()12dR R με=+; (2)半导体应变片是基于半导体材料的“压阻效应”,即电阻材料受到载荷作用而产生应力时,其电阻率发生变化的现象,其电阻的相对变化为dR d E R ρλερ == 。 3-4 有一电阻应变片(见图3-105),其灵敏度S 0=2,R =120Ω,设工作时其 应变为1000με,问ΔR =?设将此应变片接成图中所示的电路,试求:1)无应变时电流指示值;2)有应变时电流指示值;3)试分析这个变量能否从表中读出? 解:根据应变效应表达式R /R =S g 得 R =S g R =2100010-6120=0.24 1)I 1=1.5/R =1.5/120=0.0125A=12.5mA 2)I 2=1.5/(R +R )=1.5/(120+0.24)0.012475A=12.475mA 图3-105 题3-4图

广东工业大学eda课程设计报告

课程设计报告 课程名称 EDA课程设计 学院信息工程学院年级班别 学号 学生姓名 指导老师罗思杰 2017年12月09日

目录 一、设计目的和要求: (3) 二、EDA设计: (3) 三、硬件测试: (15) 四、设计和调试过程中遇到的问题及解决方法.. 15 五、完成课程设计后的收获或体会: (15) 六、设计参考文献: (15)

一、设计目的和要求: 1、设计目的: 通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD (可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、撰写技术报告和编制技术资料的能力,接受一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程经验。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 2、设计要求: (1)以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试; (2)熟悉掌握常用仿真开发软件,比如: Quartus II或Xilinx ISE的使用方法。 (3)能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试; (4)学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。 二、EDA设计: (1)方案比较: 1、数字电子钟设计 设计一个时钟电路,包括时钟、分钟、秒钟的显示。要求可对时钟、分钟进行预置和修改操作;可设置3组闹铃时间,时间到时给出10秒的报警声或音乐并给出灯光提示。 具体输入/输出要求如下: ① 4位LED数码显示器,分别显示“小时:分钟”或“分钟:秒”时钟;根据需要选择几个LED发光二极管。 ②3个按键,具体功能描述如下:

数字逻辑习题参考解答

第6章习题参考解答 6-3 画出74x27三输入或非门的德摩根等效符号。 解:图形如下 6-10 在图X6.9电路中采用74AHCT00替换74LS00,利用表6-2的信息,确定从输入端到输出端的最大时间延迟。 解:该图中从输入到输出需要经过6个NAND2; 每个NAND2(74AHCT00)的最大时间延迟为9 ns; 所以从输入端到输出端的最大时间延迟为:54 ns。 6-31 BUT门的可能定义是:“如果A1和B1为1,但A2或B2为0,则Y1为1;Y2的定义是对称的。”写出真值表并找出BUT门输出的最小“积之和”表达式。画出用反相门电路实现该表达式的逻辑图,假设只有未取反的输入可用。你可以从74x00、04、10、20、30组件中选用门电路。 解:真值表如下 A1 B1 A2 B2 Y1 Y2 A1 B1 A2 B2 Y1 Y2 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 1 0 0 0 1 1 1 0 1 0

0 1 1 1 0 1 1 1 1 1 0 0 利用卡诺图进行化简,可以得到最小积之和表达式为 Y1=A1·B1·A2’+A1·B1·B2’ Y2=A1’·A2·B2+B1’·A2·B2Y 2 采用74x04得到各反相器 采用74x10得到3输入与非 采用74x00得到2输入与非 实现的逻辑图如下: 6-32 做出练习题6-31定义的BUT 门的CMOS 门级设计,可以采用各种反相门逻辑的组合(不一定是二级“积之和”),要求使用的晶体管数目最少,写出输出表达式并画出逻辑图。 解:CMOS 反相门的晶体管用量为基本单元输入端数量的2倍; 对6-31的函数式进行变换: ()()()()'2211'2'211'211'2111B A B A B A B A B B A A B A Y ???=+??=??+??= ()()()()'1122'1'122'122'1222B A B A B A B A B B A A B A Y ???=+??=??+??= 利用圈-圈逻辑设计,可以得到下列结构: ()()()'''22'111B A B A Y ?+?= ()()()'''11'222B A B A Y ?+?=

测试技术部分课后习题参考答案

第1章测试技术基础知识 1.4常用的测呈结果的表达方式有哪3种?对某量进行了8次测量,测得值分别为:8 2.40、 82.43、82.50、82.48、82.45、82.38、82.42、82.46 0试用3 种表达方式表示其测量结果。 解:常用的测量结果的表达方式有基于极限误差的表达方式、基于/分布的表达方式和基于不确怎度的表达方式等3种 1)基于极限误差的表达方式可以表示为 均值为 因为最大测量值为82.50,最小测量值为82.38,所以本次测量的最大误差为0.06.极限误差戈m取为最大误差的两倍,所以 忑=82.44 ±2x 0.06 = 82.44 ±0.12 2)基于/分布的表达方式可以表示为 一A = X ± S

= 0.014 自由度“8-1 = 7,置信概率0 = 0.95,查表得f 分布值0 = 2.365,所以 x () = 82.44 ± 2.365 x 0.014 = 82.44 ± 0.033 3)基于不确定度的表达方式可以表示为 所以 X O =82.44±O.O14 解題思路:1)给岀公式;2)分别讣算公式里而的各分项的值;3)将值代入公式,算岀结 果。 第2章信号的描述与分析 2.2 一个周期信号的傅立叶级数展开为 含有正弦项的形式。 解^基波分量为 2JT T I 120JT . n ——cos —r + sin —r 10 4 30 4 所以:1)基频 co {} = - (rad / s) 4 2)信号的周期7 = —= 8(5) 5 — A — =X±(7x = X± 求: 曲)=4 + £( /I-1 2 K /? rm os —1 + 10 4 120”兀.fin ---- sin ——/) 30 4 (/的单位是秒) 1) ^(): 2)信号的周期:3)信号的均值; 4)将傅立叶级数表示成只 y(r)h ?]=

EDA数字逻辑实验报告

实验报告 课程名称_数字逻辑及系统设计实验学生学院____计算机____________ 专业班级软件2012(2)班 _ 学号 3112006177 学生姓名陈海兵 指导教师_____林小平 _________ 2013年 12 月24 日

一、 实验目的 1. 熟练掌握基本门电路的主要用途以及验证它们的逻辑功能。 2. 熟练掌握常用组合逻辑电路的基本原理及其逻辑电路功能。 3. 熟练掌握常用时序逻辑电路的基本原理及其逻辑电路功能。 4. 掌握Libero IDE 基于FPGA 的设计流程。 5. 熟悉FPGA 的设计与开发流程。熟悉芯片烧录的流程及步骤。 二、 实验要求 1. 要求每人能独立完成实验。严禁抄袭。 2. 能独立搭建Libero IDE 软件基础环境,掌握FPGA 的开发流程。 3. 按照实验指导书中P56-69的实验步骤进行设计,每一步骤均需要截图显示。 4. 完成3次仿真(综合前,综合后,布局布线后),并将仿真波形截图显示。 5. 将程序烧录到Actel Proasic3 A3P030 FPGA 核心板,在数字逻辑及系统实验箱上完成连 线,验证代码的正确性。 6. 纸制版的封面单面打印,其他页面必须双面打印。全班刻一张光盘。 三、 实验内容 1. 设计题目:用3-8译码器74HC138实现举重比赛的裁判表决电路的组合逻辑函数 ,写出模块代码和测试平台代码。 2. 74HC138功能表参照教材中P53表2-9,引脚图参照实验指导书中P30图2-16。 3. 把每一个步骤的实验结果截图,按实验指导书中P6图1-7中所列FPGA 引脚,手工分 配引脚,最后通过烧录器烧录至FPGA 核心板上。 4. 按分配的引脚连线,实测相应功能并记录结果。 四、 实验结果与截图 1. 模块及测试平台代码清单。 模块代码 // 74HC138.v module decoder3_8_1(DataIn,Enable1,Enable2,Enable3,Eq,y); input [2:0]DataIn; input Enable1,Enable2,Enable3; output [7:0]Eq; reg [7:0]Eq; output y; reg y; integer I; always @(DataIn or Enable1 or Enable2 or Enable3) begin if(Enable1||Enable2||!Enable3) Eq=0; AC BC AB Y ++=

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

广工数字逻辑与dea设计实验报告

实验报告 1、基本门电路 一、实验目的 1、了解基于Verilog的基本门电路的设计及其验证。 2、熟悉利用EDA工具进行设计及仿真的流程。 3、学习针对实际门电路芯片74HC00、74HC02、74HC0 4、74HC08、74HC32、7 4HC86进行VerilogHDL设计的方法。 4、掌握Libero软件的使用方法。 二、实验环境 Libero仿真软件。 三、实验内容 1、在自己的工程文件中,新建一个设计代码文件(Verilog Source File),文件命名规则:学号+下划线+BasGate 例:3115000001_BasGate.v 在自己的工程文件中,新建一个测试平台文件(HDL Stimulus File),文件命名规则:test_BasGate.v 2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。 3、参考教材P192页的设计代码、测试平台代码(可自行编程,所有门电路放在一个模块里面),完成2输入与非门、2输入或非门、2输入与门、2输入或门、2输入异或门、非门的设计、综合及仿真。 4、提交针对基本门电路的综合结果,以及相应的仿真结果。 四、实验结果和数据处理 1、门电路 ...模块清单及测试平台代码清单 (1)所有硬件功能模块的代码清单(关键代码应有注释) // 3117005278_BasGate.v (综合设计与、或、异或、与非、或非在一个模块) module gates(a,b,y1,y2,y3,y4,y5); input a,b; output y1,y2,y3,y4,y5; assign y1=a&b; assign y2=a|b; assign y3=a^b; assign y4=~(a&b); assign y5=~(a|b); endmodule // test_BasGate.v(综合设计测试平台) `timescale 1ns/1ns module testbench(); reg a,b; wire y1,y2,y3,y4,y5;

广工EDA数字逻辑课后习题问题详解

习题答案 第1章 一、单选题 (1)B (2)C (3)B (4)C (5)D (6)B (7)C (8)D (9)C (10)C (11)D (12)D (13)A (14)D 二、判断题 (1)√ (2)√ (3)× (4)× (5)× (6)× (7)√ (8)× 三、填空题 (1)10000111.101、207.5、87.A (2)185.75 (3)1001 0100 (4)B A ?、B A +、B A B A +、AB B A + (5)C B A ABC C AB ++ (6)C A AD ? (7)B A B A + (8)2n (9)1 (10)1 四、综合题 (1) ① B A B A AD B B A AD DE B B A AD C A A C DE C B B D C A A C B DE C B B BD C A A Y +=++=++=++++=+++++=+++++=)1()()()()(

② B A B A B A D D B A B A A D B D B A B A B B A D B A D B A B A B A AB Y +=+++=++++=+++++=+++++=)1)(())(())()(())(( ③ D B C B A D C D B C B DE B B A C A D B D C C B DE B C B C A D BC A D B D C C B DE B A C B A AC DE B A D BC A C B A D C D B C B AC Y ++=+++++=+++++++=+++++++=+++++++=)1()1()()()( (2) ① BCD C B D B A B A D C B A Y ++++= 函数卡诺图如下: 化简结果为:BD D A D C Y ++= ② F(A,B,C,D)=Σm(0,2,4,5,6,7,8,10,12,14) 函数卡诺图如下: 化简结果为:D B A D C B A F +=),,,( ③ F(A,B,C,D)=Σm(1,2,6,7,10,11)+Σd(3,4,5,13,15)

测试技术课后题部分答案

1.1简述测量仪器的组成与各组成部分的作用 答:感受件、中间件和效用件。感受件直接与被测对象发生联系,感知被测参数的变化,同时对外界发出相应的信号;中间件将传感器的输出信号经处理后传给效用件,放大、变换、运算;效用件的功能是将被测信号显示出来。 1.2测量仪器的主要性能指标及各项指标的含义是什么 答:精确度、恒定度、灵敏度、灵敏度阻滞、指示滞后时间等。精确度表示测量结果与真值一致的程度;恒定度为仪器多次重复测量时,指示值的稳定程度;灵敏度以仪器指针的线位移或角位移与引起这些位移的被测量的变化值之间的比例表示;灵敏度阻滞又称感量,是足以引起仪器指针从静止到做微小移动的被测量的变化值;指示滞后时间为从被测参数发生改变到仪器指示出该变化值所需时间,或称时滞。 2.3试述常用的一、二阶测量仪器的传递函数及它的实例 答:一阶测量仪器如热电偶;二阶测量仪器如测振仪。 2.4试述测量系统的动态响应的含义、研究方法及评价指标。 答:测量系统的动态响应是用来评价系统正确传递和显示输入信号的指标。研究方法是对系统输入简单的瞬变信号研究动态特性或输入不同频率的正弦信号研究频率响应。评价指标为时间常数τ(一阶)、稳定时间t s和最大过冲量A d(二阶)等。 2.6试说明二阶测量系统通常取阻尼比ξ=0.6~0.8范围的原因 答:二阶测量系统在ξ=0.6~0.8时可使系统具有较好的稳定性,而且此时提高系统的固有频率ωn会使响应速率变得更快。 3.1测量误差有哪几类?各类误差的主要特点是什么? 答:系统误差、随机误差和过失误差。系统误差是规律性的,影响程度由确定的因素引起的,在测量结果中可以被修正;随机误差是由许多未知的或微小因素综合影响的结果,出现与否和影响程度难以确定,无法在测量中加以控制和排除,但随着测量次数的增加,其算术平均值逐渐接近零;过失误差是一种显然与事实不符的误差。 3.2试述系统误差产生的原因及消除方法 答:仪器误差,安装误差,环境误差,方法误差,操作误差(人为误差),动态误差。消除方法:交换抵消法,替代消除法,预检法等。 3.3随机误差正态分布曲线有何特点? 答:单峰性、对称性、有限性、抵偿性。 4.1什么是电阻式传感器?它主要分成哪几种? 答:电阻式传感器将物理量的变化转换为敏感元件电阻值的变化,再经相应电路处理之后转换为电信号输出。分为金属应变式、半导体压阻式、电位计式、气敏式、湿敏式。 4.2用应变片进行测量时为什么要进行温度补偿?常用的温度补偿方法有哪几种? 答:在实际使用中,除了应变会导致应变片电阻变化之外,温度变化也会使应变片电阻发生误差,故需要采取温度补偿措施消除由于温度变化引起的误差。常用的温度补偿方法有桥路补偿和应变片自补偿两种。 4.4什么是电感式传感器?简述电感式传感器的工作原理 答:电感式传感器建立在电磁感应的基础上,是利用线圈自感或互感的变化,把被测物理量转换为线圈电感量变化的传感器。 4.5什么是电容式传感器?它的变换原理如何 答:电容式传感器是把物理量转换为电容量变化的传感器,对于电容器,改变ε ,d和A都会 r 影响到电容量C,电容式传感器根据这一定律变换信号。 4.8说明磁电传感器的基本工作原理,它有哪几种结构形式?在使用中各用于测量什么物理量?

相关文档
相关文档 最新文档