文档库 最新最全的文档下载
当前位置:文档库 › 数电课程设计(跑马灯)

数电课程设计(跑马灯)

数电课程设计(跑马灯)
数电课程设计(跑马灯)

数字电子技术课程设计

设计题目:跑马灯

目录

设计题目 (1)

1设计要求及主要技术指标.. ............................. .. (3)

1.1 设计要求 (3)

1.2 主要技术指标 (3)

2 设计过程 (3)

2.1题目分析 (3)

2.2 整体构思 (3)

2.3 具体实现 (4)

3 元件说明 (4)

3.1 元件说明 (4)

4 检查过程 (7)

4.1 检查过程 (7)

4.2 遇到问题及解决措施..................... . (7)

5 心得体会........................... ............. (8)

参考文献......................................... (9)

附录一:电路原理图 (9)

附录二:元件清单 (10)

一.设计要求及主要技术指标

1.1 设计要求

(1)、根据技术指标要求确定电路形式,分析工作原理,计算元件参数。

(2)、列出所用元器件清单并购买。

(3)、安装调试所设计的电路,使之达到设计要求。

(4)、记录实验结果。

(5)、撰写设计报告。

1.2 主要技术指标

(1)实现8灯循环点亮。

(2)间隔时间可调。

(3)广告灯的样式自定。

二.设计过程

2.1题目分析

我们设计的跑马灯实际上是主要使用一个74LS161,一个74LS138,一个74LS20和一个78L05这四个芯片对8个LED进行控制,产生循环控制的效果。形成一个流水似的广告灯。

2.2 整体构思

拟定系统方案框图,画出系统框图中每框的名称、信号的流向,各框图间的接口,使用protel99电路设计软件画出原理图,再生成网络表和PCB板。

对照原理图进行统计要使用的元器件后,在电子市场购买所需要的电子元器件。最后在指导老师的辅导下在实验室进行下一步工作。

2.3具体实现

我们需要使用碳粉打印机把我们设计的原理图打印出来,不过要注意必须要使用不干胶纸的光滑面进行打印。因为我们要使用热转印的方法对原理图进行转移在覆铜板上。

我们将原理图转移在覆铜板上后,再使用氯化铁(Fecl3)溶液进行腐蚀,这个过程大约要十几分钟。腐蚀好覆铜板后,先用清水冲洗掉残留的溶液,再用香蕉水擦洗掉覆在铜板上的碳粉,最后我们再清洗一下覆铜板。

接下来我们就该进行打孔,根据原理图和实际元器件管脚的大小,选择适当的针对焊盘进行打孔,这样我们的PCB板就做好了。

最后我们进行焊接,对照原理图进行元器件插接,插接好元器件后再进行焊接。焊接好后进行测试和调试。

三.元件说明

3.1 元件说明

74LS20

74LS20芯片的功能很简单,就是包含两个4输入与非门,内含两组4与非门第一组:1,2,4,5输入6输出。第2组:9,10,12,13输入8输出。

74LS20功能表

A B C D Y

1 1 1 1 0

0 X X X 1

X 0 X X 1

X X 0 X 1

X X X 0 1

<74LS20真值表> <74LS20引脚图>

74LS20测试:只要通过对输入1111,0111,1011,1101,1110五项进行检测就可判断其逻辑功能是否正常。

74LS161

74LS161型四位同步二进制可预置计数器的外引线排列图及其逻辑符号,其中是直接清零端,是预置数控制端,A3A2A1A0是预置数据输入端,EP和ET是计数控端,是计数输出端,RCO是进位输出端。74LS161型计数器的功能表如表

(a) 外引线排列图(b) 逻辑符号

74LS161型四位同步二进制计数器

74LS161型四位同步二进制计数器的功能表

由表可知,74LS161具有以下功能。

①异步清零。=0时,计数器输出被直接清零,与其他输入端的状态无关。

②同步并行预置数。在=1条件下,当=0且有时钟脉冲CP的上升沿作用时,A3、A2、A1、A0输入端的数据 d3、d2 、d1、d0将分别被所接收。

③保持。在==1条件下,当ET·EP=0,不管有无CP脉冲作用,计数器都将保持原有状态不变。需要说明的是,当EP=0,ET=1时,进位输出RCO也保持不变;而当ET=0时,不管EP状态如何,进位输出RCO=0。

④计数。当==EP=ET=1时,74ls161处于计数状态。

74LS138

74LS1383线-8 线译码器,共有 54/74S138和 54/74LS138 两种线路结构型式,

其工作原理如下:

当一个选通端(E3)为高电平,

另两个选通端(E1)和/(E2))为

低电平时,可将地址端(A、B、C)

的二进制编码在一个对应的输出

端以低电平译出。

利用 E1、E2和E3可级联扩展成

24 线译码器;若外接一个反 <74ls138引脚图>

相器还可级联扩展成 32 线译码器。

若将选通端中的一个作为数据输入端时,74LS138还可作数据分配器。

桥式整流器

简介:

桥式整流器是利用二极管的单向导通性进行整流的最常用的电路,常用来将交流电转变为直流电。

简易电路图

原理:

桥式整流是对二极管半波整流的一种改进。

半波整流利用二极管单向导通特性,

在输入为标准正弦波的情况下,输

出获得正弦波的正半部分,负半部

分则损失掉。

桥式整流器利用四个二极管,两两对接。输入正弦波的正半部分是两只管导通,得到正的输出;输入正弦波的负半部分时,另两只管导通,由于这两只管是反接的,所以输出还是得到正弦波的正半部分。桥式整流器对输入正弦波的利用效率比半波整流高一倍。

桥式整流是交流电转换成直流电的第一个步。

78L05

78L05是一种固定电压(5V)三端集成稳压器,其适用于很多应用场合.象牵涉到单点稳压场合需要限制噪声和解决分布问题的在-卡调节.此外它们还可以和其它功率转移器件一起构成大电流的稳压电源,如可驱动输出电流高达100毫安的稳压器.

其卓越的内部电流限制和热关断特性使之特别适用于过载的情况.当用于替代传统的齐纳二极管-电阻组的时候,其输出阻抗得到有效的改善,其偏置电流大大减少.

78L05特性:

* 三-端稳压器

* 输出电流可达到100mA

* 无需外接元件

* 内部热过载保护

* 内部短路电流限制

四.检查过程

4.1检查过程

将焊接好的PCB板进行检查,利用数字万用表对各个电路进行测试,看是否有焊接短路的地方,检查是否覆铜板上的线路有断路的地方。看各个元件的安放是否美观,查看发光二极管是否是发光,是否出现有虚焊的情况。

4.2遇到问题及解决措施

如果发现二极管没有亮,就用数字万用表检查电路是否是通路。看看PCB板上是否有短路和断路地方,如果有就修整错误的地方。如果没有,则检查发光二极管是否已坏,如坏,则更换二极管。

五.心得体会

通过本次的数字电子技术课程设计,我更加熟悉了protel99电路设计器的使用,对于PCB板的制作有了进一步的了解。使我认识到电子课程设计的重要性,作为电子专业的学生必须要有动手的习惯。只有在理论知识和实际操作相结合下才能够学好电子技术专业。

在设计跑马灯原理图的过程中,学会了利用protel99电路设计器的网络布线和自动布线,原理图中的错误检查,生成网络表等。

学习了PCB板的制作。先把原理图用碳粉打印机打印在不干胶纸上,因为不干胶纸的光滑面易于转印在覆铜板上。我们应该检查打印出来的原理图有没有错误的地方,是否清晰。接下来用热转印方法转移原理图,转印的时候我们应该注意铺平覆铜板,受热均匀,把原理图转印完全。如果我们转印的原理图有残缺的地方,可以用涂改液覆盖和链接线路,把原理图修理完整后,我们就对铜板进行腐蚀了。先用温水把氯化铁兑成溶液后,把覆铜板放入溶液中进行腐蚀,大约需要十几分钟的时间,一定要等覆铜板腐蚀完全才能拿出来,但也不能腐蚀过度。

把腐蚀好的铜板拿出来用清水清洗干净后,我们在用香蕉水把覆在铜板上的碳粉

洗掉,再用清水冲洗掉残留物。

接下来的工序就是打孔,对照原理图进行操作。打孔的时候一定要注意选用合适的针来打孔,按照我们设计的焊盘,根据各个元器件管角的大小选用不同规格的针来打孔。

在焊接元器件的时候,对照自己设计的原理图,把元器件插在规定的位置,再进行焊接。我感觉到我们做的PCB板没有市场上买回来的好焊,可能是因为我们做的PCB板没有达到要求,有些地方出现断路的情况,可能是在制作过程中我们的操作不熟练和材料的劣质造成的原因。某些焊盘的大小也有点不合理,是自己设计的时候疏忽了实际元器件管脚的大小。还有自己制作的PCB板的布线看起来有很多地方还是不合理,看起来不是很美观,在这些方面我还有待进一步的提高。

经过这次的数字电子技术课程的设计,我受益匪浅,感触良深。通过自己动手制作PCB板学到了很多课本上学不到的东西,使我认识到动手的重要性和必要性。作为一名大学生,我们不应该仅仅学习理论知识,还要注重实践,只有理论结合实践才能够学习好知识。

作为当代大学生,我们肩负着建设祖国未来的使命,我们就应该打起精神来好好学习,只有学好了知识才能够更好的建设祖国。在以后的学习过程中我会更加的努力!

参考文献:《protel电路设计基础》《电子工艺基础》《数字电子技术基础》附录一:电路原理图

附录二:元件清单

任务书

一.数字电路课程设计的目的与任务

数字电子技术基础是一门实践性很强的课程,通过实验可以使学生及时掌握和巩固所学的基本理论,掌握常用仪器的使用方法。

二.设计课题及要求

设计一个跑马灯控制器。

要求:设计的跑马灯实际上是主要使用一个74LS161,一个74LS138,一个74LS20和一个78L05这四个芯片对8个LED进行控制,产生循环控制的效果。形成一个流水似的广告灯。三.课程设计的基本要求

1.使学生具有自主设计电路原理读图、查阅参考书籍和手册及资料文献的的能力。

2.设计、计算、文件选取、画出设计电路图

3.学习掌握EWB(或Multisim)仿真软件,使用EWB(或Multisim)元器件建立设计电路模型进行仿真,并调试通过。

4.撰写严谨的、有理论根据的、实事求是的、文理通顺的字迹端正的实验报告数字电路课程设计报告。

按内容完成设计任务。认真上机,熟练掌握EWB(或Multisim)仿真软件,并调试通过,上机结束提交仿真图、运行结果及结果分析。

四.数字电路课程设计时间

1.设计电路原理读图、查阅参考书籍和手册及资料文献(2天)。

2.设计、计算、文件选取、画出设计电路图(2天)。

3.调试设计电路、调试及校验(2天)

4.验收及校验(1天)

五.数字电路课程设计地点

机电系电工电子实验室

六.数字电子技术课程设计报告要求

数字电子技术课程设计报告要求字迹工整、文字通顺;其撰写内容包括:

1.逻辑设计

1)整体方案设计

2)单元电路设计

3)画出逻辑总电路图

2.仿真(利用EWB(或Multisim)软件对电路进行仿真模拟.),上机调试

3.调试设计电路、调试及校验。给出设计结果:

1)总体接线图

2)接线图(仿真图)(打印,注明指导教师,设计人员,时间)

3)时序图(打印,注明指导教师,设计人员,时间)

5.总结、完成课程设计报告

6.课程设计结束以后把所有的的电子档归类、仿真程序归类后打包拷贝给指导老师存档。

注:

(1)要求每班同学分成8组,每组同学完成设计题目中的一个,除了给出的参考题目之外,也可以自己选择难度相当的题目。每组5-6个同学,每组指定一名小组组长(留下电话号码)。请各班班长把8组设计题目的同学名单于11周(本周日)之前以邮件形式发给指导老师。

(3)仿真设计完后由指导老师验收,同时指导老师会提出问题,根据设计的情况、问题的回答情况与课程设计报告的完成情况给予综合评分。(仿真最迟完成时间15周)。

附录:课程设计指导书只是作为参考,同学们可以有自己的思路。课程设计的目的和其中的乐趣主要是同学们发挥自己的创造性,设计出新颖的电路。

组成原理课程设计跑马灯

信息与电气工程学院 《计算机组成原理》课程设计报告

一、课程设计的目的 《计算机组成原理》课程设计是与课程配套开设的实践环节。通过本课程设计,使学生进一步的理解计算机组成原理课程讲授的相关内容,包括计算机的各大部件及工作原理,计算机对机器语言的支持和理解方法,计算机整机工作原理和控制方法,以及CU设计的基本方法等等,进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;锻炼计算机硬件的设计能力、调试能力;培养严谨的科学实验作风、良好的工程素质及团队协作精神,为今后的工作打下基础。 二、课程设计的内容 基于DAIS-CMH+实验台,设计并实现一个能够支持5至10条机器指令的微程序结构CU,并利用该指令系统的指令编写机器指令程序,通过调试观察模型机执行机器指令程序的过程和结构,验证CU设计的正确性。 三、课程设计的要求 (1)认真阅读模型机设计说明,了解设计内容,做好设计准备。 (2)完成模型机的硬件电路连接,绘制硬件结构框图。 (3)完成指令的微操作序列分析,画出微程序流程图,根据微指令格式,填写码点,编写微程序,完成微程序结构的CU设计。 (4)设计并编写机器指令测试程序。 (5)能够熟练的运用调试方法,修正微程序设计中存在的问题,验证机器指令执行的正确性。 (6)根据设计的实施过程,认真完成课程设计报告。 四、模型机设计总结 4.1 硬件结构框图与主要硬件模块说明

图1 实验台硬件布局图 ①缓冲输入模块: 控制信号 SW-B,控制输入信号从开关部件输入到总线。 注意:总线没有锁存能力,如果该信号关闭,则数据立刻丢失,总线上数据变为FFH。 ②地址总线模块:控制信号LDAR,控制数据(地址信号)从总线打入地址寄存器(AR)。 ③内存模块:控制信号 WR,内存的读/写控制信号,配合控制信号CE,内存的片选信号,对内存进行读/写操作。CE = 1,WR = 1,进行内存写操作;CE = 1, WR = 0, 进行内存读操作。 ④锁存输出模块:控制信号 LDED,控制数据从总线打入输出模块的锁存器,通过LED灯进行显示。 ⑤寄存器组:两组控制信号,控制数据从总线输入Ri的控制信号- LDR0,LDR1和LDR2;控制数据从寄存器Ri送到总线上的控制信号R0-B,R1-B,R2-B。 ⑥数据总线模块,这个模块没有控制信号,就是个显示模块,显示当前总线上的数据情况。

跑马灯课程设计报告

课程设计报告 课题名称基于AT89S51的跑马灯设计 系别机电系 专业 班级 学号 学生姓名 指导教师 完成日期2010年月 教务处制 基于AT89S51的跑马灯设计 一、设计任务与要求 1.设计任务 选择采用AT89S51、74LS245、ULN2803、LED等器件,使用汇编语言实现各种跑马灯动态显示效果设计。 2.设计要求 实现16个LED的全亮、全灭、交错显示、流水灯显示等。可结合灯的排布以及程序设计实现各种跑马灯动态显示效果。三个输入按键,按键S2时,LED 灯亮点依次流动;按键S3时,依次点亮LED灯;按键S4时,LED灯交错点亮。 3.设计目的 通过本课程设计掌握单片机系统设计思路和基本步骤;掌握LED驱动电路、延时程序和按键处理程序设计。能熟练使用Wave6000软件、编程器或下载线。熟悉Keil、PROTEUS、Protel99se等相关软件的使用。 二、方案设计与论证 在日常生活中,我们总是花样百出的流水灯光,随着电子技术的飞速发展,人们对灯的花样要求也就更多,如全亮、全灭、交错显示、流水灯显示等。因此,跑马灯得到了广泛的应用。 一个由单片机控制的较简单的数字钟由电源电路、控制电路、驱动电路、显示电路4部分组成。

1. 控制电路 控制电路时整个电路的核心,主要由单片机 来完成。AT89S51单片机的管脚图如图(1)所示。 单片机执行指令是在时钟脉冲控制下进行的,因 此,单片机必须外接振荡器构成时钟电路才能正 常工作。另外,还应该在单片机的RES端外接电 阻电容构成复位电路,当单片机运行错误时可以 给一个复位信号使其复位。 单片机的对接口电路的控制是由软件向单片 机的I/O口(即P0~P3口)来实现的。AT89S51 单片机内部由两个定时/计数器,可以用其中一个 定时/计数器来对时间进行计数,而另一个可以对 显示器的显示延时进行定时并通过中断把相应的 数据通过I/O 口送给显示器显示。同时,通过对图1 A T89S51管脚图 外部按键的状态判断来进行时间的调整。 2. 显示电路 作为显示电路,采用16个LED灯来进行显示,实现全亮、全灭、交错显示、流水灯显示等。 3. 驱动电路 由于单片机的I/O口输出电流比较弱,不够驱动一位LED数码管,因此,必须在I/O 口和LED数码管之间接一驱动器和限流电阻来驱动LED数码管。 4. 电源电路 由于外部的干扰如电压、电流的波动可能造成直流电源的不稳定,因此,可在电源两端接上滤波器来降低外部干扰对电源造成的影响。 三、单元电路设计 1.时钟电路 单片机执行指令是在时钟脉冲控制下进行的,因此时钟信号时单片机的基本工作条件。可以通过测量第30脚ALE是否有输出时钟脉冲的六分频信号来判断时钟信号是否正常。 时钟可以由内部和外部两种方式产生,本设计采用内部方式。如图(2)所示,在XTAL1和XTAL2引脚上外接定时元件。定时元件通常采用振荡器和电容组成的并联谐振电路。X1为振荡器,C1、C2为电容。振荡器的振荡频率主要取决于晶体,电容对振荡频率由微调作用。外接晶体振荡器时,电容值可选在30pF左右。 图2 内部时钟电路图3 电源滤波电路2.电源电路 如图(3)所示,为了提高电源的稳定,由两个电容并联连接电源两极构成电源滤波电路。C4电容值比较大,用于滤低频;C5容值比较小,用于滤高频。 3.复位电路 一个时钟周期为振荡周期的2倍,6个时钟周期构成一个机器周期,即12个时钟周期构成一个机器周期。在RES引脚上输入一个超过两个机器周期的高电平信号,单片机就可以复位。如时钟频率为12MHz,则有效的复位信号至少应保持2μs以上。 复位电路可以有两种方式:上电复位电路和外部按键复位电路。图(4)所示为单片机的上电复位电路。在上电瞬间,因为电容两端的电压不能突变,RST引脚上电位与Vcc相同。随着电容器充电过程的进行,RST引脚上的电位逐渐下降。只要适当选择C和R的数值,即可顺利实现复位操作。

单片机课程设计代码

陈新 2014/7/21 17:32:03 QQ可以找到历史记录的 陈新 17:33:15 无痕的回忆 17:01:52 LED_LOOP: MOV R1, #251 ;1s的显示延时(好奇怪,居然不准) LED_LOOP_1: MOV R4, #14 ;使用了寄存器R1,R4(估计可以使用堆栈临时释放) LED_LOOP_2: LCALL DISPLAY_MOVE DJNZ R4, LED_LOOP_2 DJNZ R1, LED_LOOP_1 RET 无痕的回忆 23:10:36 ORG 0000H AJMP MAIN ORG 0030H HC595_SCK BIT P0.4 HC595_RCK BIT P0.5 HC595_RST BIT P0.6 HC595_DAT BIT P0.7 MAIN: MOV A, #00H MOV P0, A CLR HC595_RST LOOP0: MOV R0, #0 LOOP1: MOV R1, #100 LOOP2: MOV R4, #10 LOOP3: LCALL DISPLAY DJNZ R4, LOOP3 DJNZ R1, LOOP2 INC R0 LJMP LOOP1 DELEY0: MOV R6, #4 ;1ms延时的子程序 DELEY1: MOV R7, #123 DELEY2: DJNZ R7, DELEY2 DJNZ R6, DELEY1 NOP RET

DISPLAY: MOV A, R0 MOV B, #100 DIV AB MOV B, #10 DIV AB ;MOV A, #0 LCALL SHOW_NUM MOV A, P0 ANL A, #0F0H ORL A, #1 MOV P0, A ;延时LCALL DELEY0 ;延时LCALL DELEY0 MOV A, R0 ;有问题MOV B, #100 DIV AB ;MOV A, #7 LCALL SHOW_NUM MOV A, P0 ANL A, #0F0H ORL A, #2 MOV P0, A ;延时LCALL DELEY0 ;延时LCALL DELEY0 MOV A, R0 MOV B, #100 DIV AB XCH A, B MOV B, #10 DIV AB ;MOV A, #7 LCALL SHOW_NUM MOV A, P0 ANL A, #0F0H ORL A, #4 MOV P0, A LCALL DELEY0 ;延时LCALL DELEY0 ;延时 MOV A, R0 MOV B, #10 DIV AB

数字电路设计跑马灯

数字电路课程设计报告设计课题:跑马灯 专业班级:12电信2班 学生姓名:刘鹏 学号:120802084 指导教师:曾祥志 设计时间:2016.4-2016.5

目录 1 设计要求 (2) 1.1 设计规范 (2) 2 设计方案 (2) 2.1题目分析 (2) 2.2 整体构思 (2) 3 元件说明 (3) 3.1 元件说明 (3) 3.2电路原理图 (3) 4 安装与调试 (6) 5 心得体会........................... ............. (7) 6参考文献......................................... .. (7) 7附录 (8)

1 设计任务与要求 1.1 设计规范 (1)、根据技术指标要求确定电路形式,分析工作原理,计算元件参数。 (2)、安装调试所设计的电路,使之达到设计要求。 (3)、记录实验结果。 (4)、撰写设计报告。 设计要求 (1)实现10灯循环点亮。 (2) 红绿灯各5盏。 (3)实现红绿灯流水交替显示。 2设计方案 2.1题目分析 我们设计的跑马灯实际上是主要使用一个555芯片产生脉冲给到,CD4017芯片对10个LED进行控制,产生流水交替的效果。形成跑马灯。 2.2 整体构思 拟定系统方案框图,画出系统框图中每框的名称、信号的流向,各框图间的接口,使用电路设计软件画出原理图。 3. 元件说明 3.1元件说明 555功能:555 的功能主要由两个比较器决定。两个比较器的输出电压控制RS 触发器和放电管的状态。在电源与地之间加上电压,当5 脚悬空时,则电压比较器C1 的同相输入端的电压为2VCC /3,C2 的反相输入端的电压为VCC /3。若触发输入端TR 的电压小于VCC /3,则比较器C2 的输

微机原理课程设计跑马灯

微机原理课程设计走马灯 运用8086最小模式和8255等芯片设计出一个跑马灯电路,要求至少有5中花式,在ISIS 7 Professional软件中运行。 设计电路图如图所示:

源代码 DATA SEGMENT DATA ENDS CODE SEGMENT ASSUME CS:CODE ,DS:DATA START :MOV AX,DATA MOV DS,AX MOV AL,10010000B OUT 96H,AL A0: IN AL,90H NOT AL MOV BL,AL OUT 92H,AL CMP AL,1 JNZ A3

A2: MOV DL,AL ;第一个开关实现从上至下的流水 OUT 92H,AL IN AL,90H NOT AL CMP AL,BL JNZ A0 MOV CX,50000 A1: LOOP A1 MOV AL,DL ROL AL,1 JMP A2 A3: CMP AL,2 ; 实现第二个开关从下至上的流水 JNZ A6 MOV AL,80H A5: MOV DL,AL OUT 92H,AL MOV CX,50000 A4: LOOP A4 IN AL,90H NOT AL CMP AL,BL JNZ A0

ROR AL,1 JMP A5 A6: CMP AL,4 ;第三个开关实现相隔的两个灯自上而下流水 JNZ A9 MOV AL, 05H A8: MOV DL,AL OUT 92H,AL MOV CX,20000 A7: LOOP A7 IN AL,90H NOT AL CMP AL,BL JNZ A0 MOV AL,DL ROL AL,1 JMP A8 A9: CMP AL,8 ;第四个开关实现相隔的两个灯从下而上流水 JNZ A12 MOV AL,0A0H

虚拟仪器课程设计跑马灯

河北北方学院 虚拟仪器原理与应用 课程设计 课程设计名称:基于labview的计算器设计 专业班级:电子信息工程技术3班 学号: 3 学生姓名:马洪印 成绩: 签名: 2016年12月22日 一、引言: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。

彩灯由于其丰富的灯光色彩,低廉的造价以及控制简単等特点而得到了广泛的应用,用彩灯来装饰已经成为一种时尚。 本次课程设计是基于LabVIEW虚拟仪器系统开发与实践等原理与技术而设计的跑马灯。虚拟仪器的起源可以追溯到20世纪70年代,“虚拟”的含义主要是强调软件在仪器中的作用,体现了虚拟仪器与主要通过硬件实现各种功能的传统仪器的不同。由于虚拟仪器结构形式的多样性和适用领域的广泛性,目前对于虚拟仪器的概念还没有统一的定义。美国国家仪器公司(National Instrunents Corpotion ,NI)认为,虚拟仪器是由计算机硬件资源、模块化仪器硬件和用于数据分析、过程通信及图形用户界面的软件组成的测控系统,是一种计算机操纵的模块化仪器系统。 过去40年的时间里,美国国家仪器公司(NI)通过虚拟仪器技术为测试测量和自动化领域带来了一场革新:虚拟仪器技术把现成即用的商业技术与创新的软、硬件平台相集成,从而为嵌入式设计、工业控制以及测试和测量提供了一种独特的解决方案。使用虚拟仪器技术,工程师可以利用图形化开发软件方便、高效的创建完全自定义的解决方案,以满足灵活多变的需求趋势。 本次设计的跑马灯是利用虚拟仪器技术而完成的,跑马灯是一种生活中比较常见的装饰,本文主要通过labv i ew来设计了一个相对简单的对跑马灯的控制,实現了其有规律的亮灭,带来一定的观赏效果。 本文主要是实现了跑马灯的单个流水闪烁、双路同步流水闪烁、四路同步流水闪烁、全体同步闪烁,以此循环。本程序并控制闪烁的间隔时间,使其运行更具可观性。 二、前面板设计: 前面板是LabVIEW的图形用户界面,在LabVIEW环境中可以对这些对象的外观和属性进行设计,LabVIEW提供了非常丰富的界面对象,可以方便地设计出生动、直观、操作方便的用户界面。本系统中前面板显示程序的输入和输出对象,即,控件和显示器。本程序中控件主要是滑动杆,显示器主要是文本显示。 在前面板设计过程中主要设计了12个显示灯, 并让其方形围成一圈,显示程序通行结果。前面板还包括一个文本显示控件和水平指针滑动杆,文本显示控件用于显示滑动杆的刻度值即跑马灯的延时,通过改变滑动杆刻度调节跑马灯每

单片机课程设计报告-跑马灯

单片机课程设计报告-跑马灯

武汉纺织大学 单 片 机 课 程 设 计 报 告 设计课题:跑马灯 指导教师:刘丰

姓名:颜珊曹坤 班级:应电092 一、设计任务 利用单片机制作让LED灯依次闪烁时间间隔为0.5S二次后时间加快为 0.2S并循环闪烁的跑马灯. 二、设计要求 (1)采用单片机STC89C52来控制,下载器由芯片MAX232来对程序的下载。 (2)LED灯的闪烁间隔时间为0.5S-0.25S-1S,每循环两圈更改闪烁速度。 (3)供电采用USB方口的方式。 三、方案设计与论证 跑马灯电路的组成方框图为: 四,主要元件介绍 (1)单片机STC89C52引脚介绍 stc89c52的内核和AT51系列单片机一样,故引脚也相同: 1~8:I/OP1口(P1.0~P1.7); 9:复位脚(RST/Vpd);

10~17:I/OP3口(P3.0=RXD,P3.1=TXD,P3.2=-INT0,P3.3=-INT1,P3.4=T0,P3.5=T1,P3.6=-WR,P3.7=-RD)主要是此引脚; 18、19:晶振(18=XTAL2,19=XTAL1);20:地(Vss); 21~28:I/OP2口(P2.0~P2.7); 29:-PSEN; 30:ALE/-PROG; 31:-EA/Vpp 32~39:I/OP0口(P0.7~P0.0); 40:+5V电源。 注:引脚功能前加“-”,说明其是低电平有效。如P3.2=-INT0。 (2)MAX232介绍 MAX232芯片是美信公司专门为电脑的RS-2 32标准串口设计的接口电路,使用+5v单电源供电。

单片机闪烁灯跑马灯控制课程设计

闪烁灯跑马灯控制系统 河南工院

第1 章概述 1.1设计的目的及意义?????????????????????..3 1.2单片机的概述与应用????????????????????..3 第2 章设计原理??????????.. ??????????????4 2.1设计要求与基本思路??????.??????????????4 2.2设计方案选择?????.??????????????????5 2.3设计框图??????????. ?????????????5 第3 章硬件电路设计???????.. ??????????????7 3.1时钟电路???????????????????????.?.7 3.2扩展电路????????????????????????..8 第4 章程序设计???????????????????????9 4.1程序设计思路与流程图??..???????????????? (9) 4.2程序清单与代码???????????????????.?..?11 4.3程序调试??????????????????????.?.?12 第 5 章原件明细表????????????????????13 总结???????????????????????????????14 参考文献15

第1 章概论 1.1设计的目的与意义 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。 竞争日益剧烈的今天,当代大学生不仅需要扎实的理论知识,还需要过硬的动手能力。作为自动化专业的学生,更应该熟练掌握各种电路编辑软件,作为专业必需的技能更要及时地对这一类软件的更新版本进行学习,其日趋强大的功能是对我们专业技能的补充。 闪烁灯控制系统是利用8051单片机的P1控制的8 个发光二极管。可实现从右到左闪烁一次,再从左到右闪烁一次,每次亮灭1 秒,如此循环,紧急情况下,控制P3.1 进行报警2S停止。 闪烁灯控制系统是简易的单片机控制系统,作为课程设计课题,通过实际程序设计和调试,逐步掌握块化程序设计方法和调试技术,通过课程设计,掌握一单片机核心的电路设计的基本方法和技术,了解有关电路参数的计算方法,通过完成一个包括电路设计和程序设计开发的完整过程,了解开发单片机应用系统的全过程,通过本次设计对单片机应用上有一个初步的了解,增强自我的动手、动脑能力,以及发现问题,解决问题,总计经验教训的能力,为以后走向工作岗位,以及更高更远的发展打下坚实的基础 1.2单片机概述与应用 单片机是将CPU、存储器、定时/计数器以及I/O 接口等主要部件集成在一块芯片上的微型计算机。单片机是单片微机(Single Chip Microcomputer)的简称,但准确反映单片机本质的名称应是微控制器。目前国外已经普遍称之为微控制器。鉴于他完全作嵌入式应用,故又称为嵌入式微控制器 单片微机从体系结构到指令系统都是按照嵌入式应用特点专门设计的,它能最好地满足面对控制对象,应用系统的嵌入、现场的可靠运行以及非凡的控制品质等要求。 自从20世纪70 年代推出单片机以来,作为微型计算机的一个分支,单片机经过30 多年的发展,已经在各行各业得到了广泛的应用,由于单片机具有可靠性高、体积小、干扰能力强、能在恶劣的环境下工作等特点,具有较高的性价比,因此广泛应用于工业控制、仪器仪表智能化,机电一体化、家用电器等 (1)工业控制:工业设备如机床、锅炉、供水系统、生产自动化、自动报警系统。 (2)智能设备:用单片机改造普通仪器如:仪表、读卡器、医疗器械。 (3)家用电器:如高档洗衣机、电冰箱、微波炉、电视、音响、手机、空调器。

PROTEUS 跑马灯 单片机课程设计

微型计算机技术课程设计报告 专业:通信工程 班级:xxxxxxxxx 姓名:XXX 学号:xxxxxxx 指导教师:XX 时间:xxx 通信与电子信息工程学院

8255扩展 一、课设目的、内容; 1.目的:为了进一步巩固学习的理论知识,增强学生对所学知识的实际应用能力和运用所学的知识解决实际问题的能力,开始为期两周的课程设计。通过设计使学生在巩固所学知识的基础之上具有初步的单片机系统设计与应用能力。 (1).通过本设计,使学生综合运用《微型计算机技术》、《C语言程序设计》以及《数字电路》、《模拟电路》等课程的内容,为以后从事电子产品设计、软件编程、系统控制等工作奠定一定的基础。 (2).学会使用KEIL C和PROTEUS等软件,用C语言或汇编语言编写一个较完整的实用程序,并仿真运行,保证设计的正确性。 (3).了解单片机接口应用开发的全过程:分析需求、设计原理图、选用元器件、布线、编程、调试、撰写报告等。 2.内容:8155或8255扩展用8155或8255扩展IO实现16个LED的跑马灯,提供多种跑马灯运行模式 二、问题分析、方案的提出、设计思路及原因; 本次课程设计的题目是8255的扩展,利用AT89C52驱动扩展8255数据输出口来实现16个LED跑马灯的显示。但是在80C52系列单片机中,有四个8位I/O 端口,但真正能够提供给用户使用的只有P1口,因为P0口和P2口通常需要用来传送外部存储器的地址和数据,P3口也需要使用它的第二功能。因此,单片机提供给用户的I/O接口线并不多,对于复杂的一些的应用系统都应该进行I/O 口的扩展。8255具有24个可编程设置的I/O口,即使3组8位的I/O口为PA口,PB 口和PC口.而8255又有多种运行模式,而这些操作模式完全由控制寄存器的控制字决定。利用8255的控制字模式来定义8255输出口的个数,驱动所需的LED 灯的个数,实现课题目的。 在仿真实验中,两个按键分别控制跑马灯的顺序显示、跳跃显示两种运行方式,顺序显示分别是一个、两个、四个、八个LED灯依次亮。跳跃显示分别是一个、两个、四个LED灯跳跃显示

嵌入式系统课程设计 跑马灯报告

嵌入式系统 课程设计报告 学部 专业 学号 姓名 指导教师 日期 一、实验内容

设计msp430单片机程序并焊接电路板,利用msp430单片机芯片实现对跑马灯、按键识别及数码显示这三大模块的控制 二、实验目的 1.熟悉电路原理图,了解单片机芯片与各大模块间的控制关系 2.增强看图和动手设计能力,为将来从事这个专业及相关知识奠定基础 3.在焊接的同时,理解源程序是如何实现相应功能的 三、实验设备及器材清单 实验设备:电烙铁、烙铁架、尖嘴钳、斜口钳、镊子、万用表等 器材清单: 模块元器件名称单位(个/块) 电源 78051 AMS11171 电容10V100u3 二极管IN40071 104电容2 晶振32768Hz1 33电容2 8MHz2跑马灯发光二极管8 100欧电阻8 74LS5731 104电容2 键盘按键8 10K电阻9 104电容3 103电容1 HD74HC212数码显示7段数码显示(共阴极)1 24脚插座1 74HC1641 14脚插座1复位电路二极管IN40071 电容10V100u1 按键1 10K电阻1 14脚下载口1电路板1 MSP430F149芯片及插座1 四、硬件电路框图

五、程序清单 跑马灯程序#include #define uchar unsigned char #define uint unsigned int int main( void ) { void delay( ); WDTCTL = WDTPW + WDTHOLD; unsigned char i=0,j=0; P2DIR=0XFF; P2SEL=0X00; while(1) { for(i=0;i<10;i++) { P2OUT=0XFF; delay(50); P2OUT=0X00; delay(50); } for(j=0;j<10;j++) { P2OUT=0X55;

51单片机的音乐跑马灯设计

摘要 单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。 本设计使用AT89C52芯片,利用P0的8个端口连接8个发光二极管,P1的8个端口连接8个发光二极管,通过P0.0到P0.7的值和P1.0到P1.7的值控制“跑马灯”的亮灭,以达到显示效果。设计的中断程序要对多个按键动作进行响应,灯光变换的花样有15种,用模式按钮切换。按下模式按钮键,程序将按十五种模式切换,每按一次模式按钮键,切换一次跑马灯模式,而加速按钮和减速按钮可以改变闪烁速度;最后一种模式为音乐模式,加速按钮可切换音乐。 在单片机运行时,可以在不同状态下让跑马灯显示不同的组合,作为单片机系统正常的指示。当单片机系统出现故障时,可以利用跑马灯显示当前的故障码,对故障做出诊断。此外,跑马灯在单片机的调试过程中也非常有用,可以在不同时候将需要的寄存器或关键变量的值显示在跑马灯上,提供需要的调试信息。 关键词:音乐跑马灯;AT89C52单片机;74LS245驱动芯片;LED发光二极管

1 设计概述 (1) 1.1设计目的 (1) 1.2设计作用 (1) 1.3设计要求 (1) 1.4系统设计框图 (1) 2元器件介绍 (3) 2.1AT89C52单片机 (3) 2.2驱动芯片74LS245 (3) 2.3其他元件及功能 (4) 3 硬件电路设计 (6) 3.1单片机最小系统 (6) 3.2LED显示部分 (7) 3.3按钮控制部分 (7) 3.4数码管显示电路 (8) 3.5蜂鸣器部分 (8) 3.6系统总电路图 (9) 4 软件设计 (10) 4.1 程序流程图 (10) 4.2 程序设计 (10) 5 结束语 (32) 参考文献 (33)

数字电子电路 数电 实验报告 基于FPGA的跑马灯电路的设计

装 … …订 ……线 基于FPGA的跑马灯电路的设计 一、实验目的 1、进一步熟悉MAXPLUS II、Multisim或QUARTUS II等电子开发工具的基本使用方法。 2、掌握使用移位寄存器、计数器、译码器等集成电路进行综合电路设计方法 3、运用图解法设计跑马灯电路,并进行电路仿真。 二、实验内容 实验题目:设计一个跑马灯电路。要求输出8个LED灯从左到右逐个点亮,然后再从右到左逐个点亮,循环。 首先根据题目要求设计一下电路的实现方法,利用加法计数器(74LS161)和74LS138(3-8译码器)可实现8个LED灯从左到右逐个点亮的单向循环,实际电路如下图: 而要完成实验的要求,需将计数器74161的输出信号变为000到111的加计数,再由111到000的减计数,然后循环。 实现实验题目的方法有两种: 1、将计数器74LS161的输出端QA、QB、QC分别与QD异或,经过异或门输入到74LS138的A、B、C端,其数据符合题目的数据要求。可以实现8个LED灯从左到右逐个点亮,然后再从右到左逐个点亮,循环。但第1个灯和第8个灯显示的时间长度是其它灯的2倍。 Electronics Workbench 实验图1 2、使用可逆计数器74LS191来完成电路的计数部分,利用可逆计数器74LS191的加减计数控制端 U/D′,U/D′输入低电平时计数器为加法计数器,U/D′输入高电平时计数器为减法计数器。 当计数器74LS191加计数到7(0111)时,通过与门取出信号,经过或门使D 触发器输出由原来的低

装 … …订 ……线电平反转为高电平,通过加减控制端U/D′,使计数器由加法计数变为减法计数。当计数器74LS191减计数到0(0000)时,借位输出端产生信号,经过或门使D触发器输出由原来的高电平反转为低电平,通过加减控制端U/D′,使计数器由减法计数变为加法计数。计数器输出信号为0000到0111的加计数,再由0111到0000的减计数,不断循环。再经过3—8译码器使8个LED灯从左到右逐个点亮,然后再从右到左逐个点亮,循环。 Electronics Workbench 实验图2 本次实验使用软件MAXPLUS II、Multisim或QUARTUS II皆可。但要求至少要使用2种软件实现电路设计。 三、实验步骤 提前进行电路设计。要求在实验报告中写出详细的设计过程,包括设计思路,和预计输出结果,画出逻辑电路图,实际应使用的芯片。

跑马灯设计方案EDA课程设计方案

第一章设计内容与设计方案 1.1课程设计内容 控制8个LED进行花样性显示。 设计4种显示模式:s0,从左到右逐个点亮LED;s1,从右到左逐个点亮LED;s2,从两边到中间逐个点亮LED;s3,从中见到两边逐个点亮LED。 4种模式循环切换,复位键(rst)控制系统的运行停止。数码管显示模式编号。 可预置彩灯变换速度,4档快、稍快、中速、慢速,默认工作为中速。 1.2设计方案 在掌握常用数字电路功能和原理的基础上,根据EDA技术课程所学知识,以及平时实验的具体操作内容,利用硬件描述语言HDL,EDA软件QuartusⅡ和硬件平台cycloneⅡFPGA进行一个简单的电子系统设计,本次课程设计采用Verilog HDL硬件描述语言编写控制程序,应用Quartus Ⅱ软件实现仿真测试。采用FPGA芯片对LED灯进行控制,使其达到流水跑马灯显示的效果,LED灯采用共阳极接法,当给它一个低电平时,LED点亮,我们利用移位寄存器使各输出口循环输出高低电平,达到控制的目的。

2.1设计原理及设计流程 本次试验我所完成的内容是跑马灯的设计,下面我简单的进行一下原理的阐述。 跑马灯课程设计的要求是控制8个LED进行花样显示,设计四种显示模块:第一种显示是从左向右逐个点亮LED。第二种显示:从右向左逐个点亮LED。第三种显示:从两边向中间逐个点亮LED。第四种显示:从中间到两边逐个点亮LED。四种显示模式循环切换,并带有一位复位键控制系统的运行停止。为了完成要求的效果显示,由于要求比较简单,所以不用分为很多模块来具体控制,所以我先择利用移位寄存器来完成灯的点亮,我们将LED灯采用共阳极接法,当给于低电平时点亮,那么当我们需要点亮某位LED灯时,只需在该位上赋予低电平即可,比如:如果我们要实现8个数码灯从左到右依次点亮,那么我们就可以给这8个数码灯分别赋值10000000,经过一段时间的延时后再给其赋值01000000,再经过一段时间延时后再给其赋值00100000,依次类推,则最后一种赋值状态为00000001,这样就得到了相应的现象。同理,要实现数码灯从右向左依次点亮,从中间向两端依次点亮,从两端向中间依次点亮都可以采用这样赋值的方法。为了达到四种显示模式循环切换的目的,可以将以上的所有赋值语句以顺序语句的形式置于进程中,这样在完成了一种显示方式后就会自动进入下一种设定好的显示模式,如此反复循环。当需要程序复位时,只需按下rst键即可,程序不管走都那里,执行那条语句,只要确定复位键按下时,程序立刻返回到程序执行语句的第一步,程序接着进行新的循环点亮。

基于单片机的跑马灯设计课程设计论文

课程设计(论文) 题目基于单片机的跑马灯 学院名称电气工程学院 指导教师肖金凤 职称副教授 班级电力1002班 学号20104450216 学生姓名耿翼鹏 2013年 1 月5日

摘要: 单片机最小系统是在以STC89C52RC单片机为基础上扩展,使其能更方便地运用于测试系统中。本设计主要在单片机上扩展I/O口,复位电路,晶振电路,LED显示电路并写好底层程序,做出能应用于跑马灯的最小系统。矚慫润厲钐瘗睞枥庑赖。 关键词:最小系统,STC89C52RC, 跑马灯 The smallest system one chip computer is in expands at the base of MCS-52 one chip computer,make it used more convient in the test system. This design mainly expands I/O in the take 52 on chip computer, reset circuit, crystals circuit, the LED display circuitand writes the first floor procedure.Make for scrolling minimum system.聞創沟燴鐺險爱氇谴净。Keyword:minimum system, AT89C52, scrolling

南华大学电气工程学院 《单片机原理及应用课程设计》任务书 设计题目:基于单片机的跑马灯 专业:电气工程及其自动化 学生姓名: 耿翼鹏学号: 20104450216 起迄日期: 2013 年12月23日—2014年1月 5日 指导教师:肖金凤老师

labview课程设计之跑马灯

课程名称:虚拟仪器课程设计 设计题目:基于labview跑马灯设计院系:电气工程系 专业:电子信息工程 年级: 2009 姓名: 指导教师:关海川 西南交通大学峨眉校区 2012年6月15日

课程设计任务书 专业电子信息工程姓名学号20098142 开题日期:2012 年3 月1 日完成日期:2012年6月15 日题目基于labview的跑马灯设计 一、设计的目的 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰已经成为一种时尚。本文设计了一个跑马灯程序。让我们对其有一个直观的了解。 二、设计的内容及要求 ●方案设计:本次设计的运行效果、步骤、控制的设计 ●程序前面板总体的设计、后面板程序框图的设计、程序调试 ●试验结果的分析 三、指导教师评语 四、成绩 指导教师(签章) 年月日

选题分析: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰已经成为一种时尚。 跑马灯是一种生活中比较常见的装饰,本文主要通过labview来设计了一个相对简单的对跑马灯的控制,实现了其有规律的亮灭,带来一定的观赏效果。 本文主要是实现了跑马灯的单个流水闪烁、双路同步流水闪烁、四路同步流水闪烁、全体同步闪烁,以此循环。本程序并控制闪烁的间隔时间,使其运行更具可观性。 方案设计: 本文主要设计了12个显示灯,并让其方形围成一圈。 运行效果: 单个流水闪烁:单个灯依次轮流闪烁 双路流水同步闪烁:相对两灯同时依次轮流闪烁 四路同步流水闪烁:等间距四灯依次轮流闪烁 全体同步闪烁:全体灯同时闪烁 运行步骤: 单个流水闪烁→全体同步闪烁→双路流水同步闪烁 ↑↓ 全体同步闪烁←四路同步流水闪烁←全体同步闪烁 以此循环。

PLC课程设计霓虹灯跑马灯.

烟台南山学院 PLC课程设计 题目霓虹灯广告屏装置PLC设计与调试 姓名:李海港 所在学院:烟台南山学院 所学专业:电气工程及其自动化 班级:电气工程1102班 学号: 指导教师:姜倩倩 小组成员:邱胜强马帅李海洋

课程设计任务书 一、基本情况 学时:1周学分:1学分适应班级:10电气技术 二、课程设计的意义、性质、目标、要求 1.意义 课程设计是PLC课程教学的最后一个环节,是对学生进行全面的系统的训练。进行课程设计可以让学生把学过的比较零碎的知识系统化,真正的能够把学过的知识落到实处,能够开发简单的系统,也进一步激发了学生再深一步学习的热情,因此课程设计是必不少的,是非常必要的。 2.性质 课程设计是提高学生PLC技术应用能力以及文字总结能力的综合训练环节,是配合PLC课程内容掌握、应用得的专门性实践类课程。 3.目标 通过典型实际问题的实际,训练学生的软硬件的综合设计、调试能力以及文字组织能力,建立系统设计概念,加强工程应用思维方式的训练,同时对教学内容做一定的扩充。 4.要求 (1)课程设计的基本要求 PLC课程设计的主要内容包括:理论设计与撰写设计报告等。其中理论设计又包括选择总体方案,硬件系统设计、软件系统设计;硬件设计包括单元电路,选择元器件及计算参数等;软件设计包括模块化层次结构图,程序流程图。程序设计是课程设计的关键环节,通过进一步完善程序设计,使之达到课题所要求的指标。课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 (2)课程设计的教学要求 PLC课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间(一周)累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及

跑马灯控制电路设计

HDL数字系统课程设计报告书 目录 一、设计目的 (2) 二、设计思路 (2) 三、设计过程 (2) 3.1、系统方案论证 (2) 3.2、程序代码设计 (3) 四、系统调试与结果 (5) 五、主要元器件与设备 (9) 六、课程设计体会与建议 (9) 6.1、设计体会 (9) 6.2、设计建议 (10) 七、参考文献 (10)

论文摘要: 共16个LED灯,连成一排,实现几种灯的组合显示。通过这次对跑马灯控制电路的设计与制作,了解了设计电路的程序,也了解了关于跑马灯工作的基本原理与设计理念,首先要将一个程序分成几个模块,分别调试每一个模块,当每个模块均能正常工作时,其次再将其都组合在一起再次调试与仿真,最后将程序下载到Altera公司ACEXTM系列EPEK30QC208-2芯片,观察程序是否能控制硬件的实现。此外,本实验也可通过EDA软件Quartus6.0和modelSim SE 6.0实现。 关键词: HDL 数字系统跑马灯设计

一、设计目的 1、熟悉Verilog HDL程序编程。 2、掌握Altera公司ACEXTM系列EPEK30QC208-2芯片的使用方法。 3、熟悉Quartus II 6.0和Modesim SE 6.0软件的使用。 4、了解16个数码管的显示原理和方法。 二、设计思路 1、编写跑马灯设计程序。 2、定义LED灯引脚分配。 3、设计状态控制。 4、下载到EPEK30QC208-2芯片上显示。 三、设计过程 3.1、系统方案论证 16位LED跑马灯设计框图如图1所示:

图1 LED跑马灯设计框图 3.2、程序代码设计 module paomadeng(rst,clk,sel,led); //端口定义,参数列表 input rst,clk; //rst复位,clk为4Hz的时钟信号input[1:0] sel; //sel 状态选择端口 output[15:0] led; //led 跑马灯显示 reg[15:0] led; reg[15:0] led_r,led_r1; reg cnt1,dir; //cnt1控制状态2 led灯的亮次数 reg[3:0] cnt2; //cnt2控制状态2 led灯的亮次数 reg[2:0] cnt3; //cnt3控制状态2 led灯的亮次数 always@(posedge clk) begin if(rst) begin cnt1<=0;cnt2<=0;cnt3<=0;dir<=0;end else case(sel) // LED按奇数,偶数依次显示 2'b00: begin led_r=16'b0101010101010101; if(cnt1==0)led<=led_r; else led<=led_r<<1; cnt1<=cnt1+1; end // LED顺序依次显示,顺序依次熄灭 2'b01: begin if(!dir) begin if(cnt2==0) begin led_r=16'b0000000000000001;led<=led_r;end else begin led<=(led<<1)+led_r;end if(cnt2==15) begin dir<=~dir;end

数字电子技术课程设计 跑马灯

徐州工程学院 课程设计报告 ( 2012 -- 2013 年度第一学期) 名称:数字电子技术课程设计 题目:跑马灯 院系: 班级: 学号: 学生姓名: 指导教师: 设计周数: 1 成绩: 日期:2013年01月15日

目录 一、课程设计的目与要求 1.1设计目的 (3) 1.2设计要求 (3) 1.3主要技术指标 (3) 二、设计正文 2.1.设计分析 (3) 2.2.设计思路 (3) 2.3芯片资料 (3) 2.4.设计流程图 (8) 2.5 设计原理图 (8) 2.6.仿真图原理图 (9) 2.7.仿真现象 (9) 2.8时序逻辑 (10) 2.9PCB图 (11) 2.10实物图 (11) 2.11实物演示 (12) 三、设计结论与心得 (13) 四、参考文献 (13) 五、附图 (14)

一、课程设计的目与要求: 1.1、设计目的; 1.熟悉和掌握数字电路元件的特性和使用方法 2.深入理解数字电子技术基础的学习 3.锻炼自我思考,设计电路的能力 4.锻炼动手,实践能力 1.2、设计要求: 1.根据技术指标要求确定电路形式,分析工作原理,计算元件参数 2.列出所用元器件清单并购买 3.安装调试所设计的电路,使之达到设计要求 4.记录实验结果 5.撰写设计报告 1.3、主要技术指标: 1.实现8灯循环点亮 2.间隔时间可调 3.广告灯的样式自定 二、设计正文: 2.1.设计分析: 我们设计的跑马灯实际上是主要使用一个74LS161,一个74LS138,一个74LS20对8个LED进行控制,产生循环控制的效果。形成一个流水似的广告灯,并且通过一个74ls161对输入脉冲进行分频,实现循环速度可调。 2.2.设计思路: 首先我们利用二进制计数器74ls161对外界输入脉冲进行计数,并且通过输出端输出对应的二进制数码,再利用74ls138译码器对74ls161输出的二进制数进行译码,由此产生八位LED流水的效果,计数时需要对计数只进行限定,利用74ls20与74ls161利用反馈归零法限定计数只为8. 2.3芯片资料: (1)集成二进制计数器74LS161 74LS161是4位二进制同步加法计数器,除了有二进制加法计数功能外,还具有异步清零、同步并行置数、制加法计数功能外,还具有异步清零、同步并行置数、保持等功能。

相关文档
相关文档 最新文档