文档库 最新最全的文档下载
当前位置:文档库 › WINCC与STEP7的通信

WINCC与STEP7的通信

WINCC与STEP7的通信
WINCC与STEP7的通信

WinCC与Step7-300通讯

本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。

4.1 通讯术语

(1)通讯

通讯用于描述两个通讯伙伴之间的数据交换。

(2)通讯伙伴

通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序

在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元

通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。

(5)连接

连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。

一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。

4.2 建立WinCC与PLC间通讯的步骤

●创建WinCC站与自动化系统之间的物理连接。

●在WinCC项目中添加适当的通道驱动程序。

●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。

●在连接下建立变量。

WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。

4.3 创建一个S7-300PLC的项目

要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。

●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,

创建一个项目名为zx的SIMATIC 300 Station。如图4-1所示。

图4-1 创建S7-300 PLC的项目

●单击SIMATIC Manager>Operation>Set PG/PC Interface,打开“S

etPG/PC Interface”对话框,选择“TCP/IP”模式。如图4-2所示。

图4-2 设置通讯方式

●双击“Hardware”进入硬件组态框。

●在硬件组态框中组态S7-300 PLC,如图4-3所示。

图4-3 组态S7-300 PLC

●双击“PN-IO”,打开“Properties-PN-IO”对话框,单击块捷键

“Properties”

打开“Properties-Ethernetinterface PN-IO”对话框。选择“Para meters”可设置IP address和Subnet mask。如图4-4所示。

图4-4 设置通讯地址

●单击“Block”>“OB1”进入编成界面,编一个起保停程序。如图4-5、4

-6所示。

图4-5项目结构

图4-6 编程界面

●程序调试后点击工具栏上的图表,将程序下载到CPU315-2PN/DP。

4-4 WinCC与S7-300PLC的通讯

(1)添加驱动程序

WinCC提供了一个称为SIMATIC S7 Protocol Suite的通讯驱动程序。此通讯驱动程序支持多种网络协议和类型,通过它通道单元与各种SIMATIC S7-300和S7-400 PLC进行通讯。

●在WinCC项目管理器的浏览窗口中,右击“变量管理”。

●从快捷菜单中选择“添加新的驱动程序”菜单项,打开“添加新的驱动程序”

对话框,选择SIMATIC S7 Protocol Suite.chn。如图4-7。

●单击“打开”按钮,将添加此驱动程序到所组态的WinCC项目中。

图4-7选择通讯协议

4-5工业以太通道单元

通道单元“TCP/IP”用于通过以太网将WinCC连接到S7自动化系统。组态步骤如下:

在通道单元TCP/IP(以太网)的快捷菜单中选择“添加新的驱动程序”菜单项,打开“连接属性”对话框,输入连接的名称PLC1。如图4-8、4-9所示。

图4-8 建立一个连接

图4-9连接参数

●单击“属性”按钮,打开“连接参数—TCP/IP”对话框。在“IP地址”文

本框中按格式输入所要连接的PLC上的通讯处理器地址应与PLC中的IP地址对应(图4-4)。如图4-10

图4-10连接参数—TCP/IP

4-6 建立过程变量

●打开SIMATIC S7 Protocol Suite.chn> TCP/IP >PLC1,右击PL

C1,选择“新建变量”,单击打开“变量属性”对话框,输入变量名,选定数据类型,然后单击“选择”,打开“地址属性”对话框。选定地址和数据。

如图4-11、4-12和4-13所示。

图4-11 变量属性

图4-12 设置变量属性

如何将step7变量自动导入到wincc

一、从WinCC里调用STEP 7 变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC 与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,在communitation 下的所有选项都必须钩选。 二、在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC 项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件你可以在OS站上点击右键,选择“Re name”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目 三、把现成的WinCC项目集成到STEP 7 项目中 按如下步骤把已有WinCC项目文件插入STEP 7项目文件 A. 在STEP 7项目文件中插入一个OS站,然后把它改名为已有的WinCC项目名称。 B. 在STEP 7项目里删除因仅插入OS站而产生的WinCC项目文件,其位置在STEP 7 项目文件夹里的wincproj文件夹下,例如: d:\siemens\STEP7\S7proj\STEP7_Integration\wincproj\Name of the OS C. 最后在项目复制器里用’Save as’把已有的WinCC项目文件存储到STEP 7项目路径下。项目复制器位于开始菜单项"Start > SIMATIC > WinCC > Tools"。 注意: 对于集成STEP 7项目里的WinCC项目,你也可以使用压缩工具(Packer)来归档WinCC项目。 四、把变量(符号表,共享DB,背景DB)从STEP 7传送到WinCC里 STEP项目文件里的符号表,共享DB里的变量可以一次性的传入继承的WinCC 项目文件。 具体步骤如下: A、为需要传输的变量打上“传输标志” 在需要传输的变量上点击右键,从关联菜单中选择“Special Object Properties \ Operator Control and Monitoring…”,打开Operator Control and Monitoring对话框,激活复选框“Operator Control and Monitoring”,并点击“OK”关闭对话框。此时该变量前将显示绿色小旗 如需对成批变量进行该操作,可以使用“Shift+鼠标左键”进行批选择,或

WINCC与STEP7的通信

WinCC与Step7-300通讯 步骤如下: 1、用一根普通网线连接计算机和以太网模块。 2、启动Step 7 ,点击“选项---->设置PC/PG接口”,将通讯接口设置为ISO的那个,指向你正在使用的网卡。 3、点击“PLC--->编辑以太网节点”,输入以太网模块上的MAC 地址,以及你需要分配的IP地址,子网掩码,最后点击“分配IP组态”,IP分配完成。 4、点击“选项---->设置PC/PG接口”,将通讯接口设置成TCP/IP。 5、在PLC硬件组态中,将以太网IP地址设置成你刚才分配的那个IP。 6、现在你可以正常通过以太网下载程序了。 如果mmc卡是空的或者卡里面的硬件配置程序跟现有硬件一致,可以用mac地址下载。否则,必须先清空mmc卡或者先用mpi下载 ?三菱PLC输出指示灯安装于各自的输出模块上,PLC输出指示灯用于指示PLC输出信号的状态。当输出指示灯不亮时,检查、确定故障原因。 ?当利用编程器检查,确认PLC输出已经为“1”,且更换模块后PLC输出可以正常输出时,如对应的指示灯还是不亮,在检查输出模块安装、连接正常的基础上,可以 确认故障是PLC输出模块或对应的输出点本身不良引起的。输出模块、输出点本身 不良可能的原因有: ? 1.采用汇点输出(无源)时,可能PLC输出接口电路损坏;

? 2.采用源输出(有源)时,因输出负载过重、短路引起了三菱PLC内部电源电压的降低、保护; ? 3.当故障发生在扩展单元时,可能是基本单元与扩展单元间的连接不良; ? 4.三菱PLC输出接口电路损坏等。测量三菱PLC输出电压、检查模块安装与连接,在确认正确后,应更换输出模块或进行输出模块的维修与处理。 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建一个 项目名为zx的SIMATIC 300 Station。如图4-1所示。

STEP7_v5.4与Wincc_Flexible2008的集成与仿真

STEP 7 v5.4 与 WinCC Flexible 2008的集成与仿真 By Herowuking,2009-8-31 1. 软件环境 STEP7 v5.4 SP4 Wincc Flexible 2008 说明: 网上有人说先安装STEP7 后安装WinCC Flexible就可以 把Wincc Flexible集成到 STEP7里面去,但是我安装完 STEP7 5.4之后安装了Wincc Flexible 2008,虽然可以在STEP7里面插入HMI站点,插入之后却不能够在STEP7里面编辑这个Wincc Flexible项目。所以,我采用的是另外一种方法。 2. 项目集成 STEP1.新建STEP7项目,编写好符号表和代码之后保存; 符号表(编写好之后在WINCC FLEXIBLE中建立变量很轻松了) 我这里编了一个最简单的启停控制做演示

STEP2.新建一个WINCC FLEXIBLE 项目; 【项目】→ 【新建…】→这里我选择了TP270 10”→保存项目; 可以保存到和STEP7相同的目录下,也可以保存在别的地方。这里我放在了STEP7项目目录下新建的一个文件夹中。 执行项目集成到STEP7里面去 【项目】→【在STEP7中集成…】→选择STEP1中新建的项目; 这个时候你再用STEP7打开原来的项目发现下面多出了一个HMI 站点; 这个时候,如果同时开着Wincc Flexible 2008,双击“变量”可以自动打开变量编辑界面。但是如果这个时候Wincc Flexible 没有打开,就会一闪下面的画面,而没有任何其他反应。估计还是STEP7 v5.4SP4和Wincc Flexible 2008集成的不够好吧。 暂且不管这软件上的问题,下一步开始执行STEP7和Wincc Flexible 的连接,实现Step 7的PLCSIM 仿真和Flexible 的仿真通讯。 注意:Wincc Flexible 2008打了SP1以及HotFix5 for SP1的补丁之后,不存在上述问题了。

WINCC与STEP7模拟通信设置

WinCC与Step7-300通讯 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建一个 项目名为zx的SIMATIC 300 Station。如图4-1所示。

WINCC与STEP7地通信

WinCC与Step7-300通讯 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC 中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建 一个项目名为zx的SIMATIC 300 Station。如图4-1所示。

wincc与step7仿真通讯设置

wincc与step7仿真通讯设 置 liudaxian2000 |2018-06-30 | 2.1分(高于81.78%的文档)|4235|142 |简介|举报手机打开 共享文档 一、MPI网的仿真 1、PC/PG端口选择:STEP7选PLCSIM(MPI),WINCC选MPI (Wincc)――>PLCSIM(MPI)。 2、先在STEP7V5·4软件编好控制程序。 3、打开S7-PLCSIMV5·4软件,在出来的窗口中勾下面的那个选项, 点第二个选项,选择打开STEP7V5·4程序的项目。 4、下载程序并运行。 5、打开WINCC V6.0并新建项目,在变量管理添加新的驱动程序, 选····S7···的,然后在MPI新建连接,在MPI上点击右键――>系统参数――>单元――>在逻辑设备项选择“PLCSIM(MPI)”。 6、新建变量并编辑画面,然后保存运行。 二、TCP/IP网的仿真 1、PC/PG端口选择:STEP7选PLCSIM(RFC 1006),WINCC 选CP_I2_1:――>PLCSIM(RFC 1006)。

2、先在STEP7V5·4软件组态硬件(要有CP343-1并填入IP地址)并编好控制程序。 3、打开S7-PLCSIMV5·4软件,在出来的窗口中勾下面的那个选项,点第二个选项,选择打开STEP7V5·4程序的项目。 4、下载程序并运行。 5、打开WINCC V6.0并新建项目,在变量管理添加新的驱动程序,选····S7···的,然后在TCP/IP新建连接(记得填入STEP7组态时的IP 地址),更改插槽号为2。在TCP/IP上点击右键――>系统参数――>单元――>在逻辑设备项选择“CP_I2_1:”。 6、新建变量并编辑画面,然后保存运行。 三、PROFIBUS网的仿真 1、PG/PC接口选择:STEP7选择S7ONINE(STEP7)――>PLCSIM (PROFIBUS),WINCC选CP_I2_1:――>PLCSIM(PROFIBUS)。 2、WINCC中在PROFIBUS新建连接,然后进入PROFIBUS的系统参数――>单元――>逻辑设备名称选:PLCSIM(PROFIBUS)。 3、其它和MPI及TCP/IP的仿真一样。 *PLCSIM 版本5.4

wincc集成到step7中

1.从WinCC里调用STEP 7变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率减少一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须兼容。WinCC 与STEP 7的版本兼容性列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,并且选中下图中红框标识的选件: 图 1. 与集成相关的WinCC组件 2.在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件。

图 2. 插入OS站 你可以在OS站上点击右键,选择“Rename”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目。 图 3. 改名和打开WinCC项目 3.把现成的WinCC项目集成到STEP 7项目中 如果你在一台计算机上已经安装了兼容的WinCC和STEP 7,并且有了一个单独使用的WinCC项目,想把它集成到一个已有的STEP 7项目中去。

STEP7和WinCC的安装方法

STEP7的安装方法 1、所有安装文件必须放在某磁盘根目录的某个文件夹中。安装文件夹的名称不能有中文字 符。否则,会出现“找不到SSF文件”的提示信息而无法继续安装。 2、STEP7 V5.5_CN(中文版)只能安装在32位操作系统中(WinXP 32位、Win7 32位)。安装 文件在[STEP7 V55_CN]文件夹中,该版本不包含PLCSIM软件,需要另外单独安装。可以安装PLCSIM V54SP5汉化版。安装文件在[S7-PLCSIM V54+SP5]文件夹中。 3、STEP7 V5.5SP1_HOME(英文家庭版)既可以安装在WinXP 32位操作系统上,也可以安装在 64位操作系统中(Win7 64位)上。安装文件名:[STEP7 V55SP1_Home_x32_x64.iso]。该版本包含PLCSIM软件,无需另外单独安装。该版本的PLCSIM可以汉化,方法:把[PLCSIM_v5.4 SP3汉化]文件夹中[s7wsvlrb.dll]文件复制到[C:\Program Files(X86)\simens\plcsim\s7wsi\]中覆盖原文件。 4、STEP7 V5.5SP2和SP3(中文版)既可以安装在WinXP 32位操作系统上,也可以安装在64 位操作系统中(Win7 64位)上。安装文件名:[STEP7 V55SP2CN.iso]和[STEP7 V55SP3.iso]。 这两个版本不包含PLCSIM软件,需要另外单独安装。可以安装PLCSIM V54SP5汉化版,安装文件夹名:[S7-PLCSIM V54SP5]。 5、安装后只有14天的试用期,需要安装密钥文件解锁。密钥文件放在[Simatic_EKB_Install] 文件夹中。可以选择最新的密钥文件Sim_EKB_Install_2015_03_29解密。安装STEP7时选择以后传送许可证密钥,见图1所示。密钥文件的安装方法见图2所示。 图1

如何将WinCC集成在STEP7中

如何将WinCC集成在STEP7中 (一、从WinCC里调用STEP 7 变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,communitation 下的所有选项都必须钩选。

二、在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC 项目。方法是在Simatic Manager里,右键点击项目名称,选 择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC 项目文件 你可以在OS站上点击右键,选择“Re name”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目 三、把现成的WinCC项目集成到STEP 7 项目中 按如下步骤把已有WinCC项目文件插入STEP 7项目文件 A. 在STEP 7项目文件中插入一个OS站,然后把它改名为已有的WinCC 项目名称。 B. 在STEP 7项目里删除因仅插入OS站而产生的WinCC项目文件,其位置在STEP 7 项目文件夹里的wincproj文件夹下,例如: d:\siemens\STEP7\S7proj\STEP7_Integration\wincproj\Name of the OS C. 最后在项目复制器里用’Save as’把已有的WinCC项目文件存储到STEP 7项目路径下。项目复制器位于开始菜单项 "Start > SIMATIC > WinCC > Tools"。 注意:对于集成STEP 7项目里的WinCC项目,你也可以使用压缩工具

WinCC上位组态软件和西门子PLC建立通讯的几种方法

WinCC上位组态软件和西门子PLC建立通讯的几种方法说明: a.文档并未列出所有的WINCC连接Siemens品牌PLC的所有方法,只是列举了一些常用的方法。 b.在各种连接方式中的参数设置可能会略有不同,在此列出的步骤和参数只是一套可以连通的设置方法。 一.WINCC使用CP5611通讯卡通过MPI连接PLC 前提条件 I) 通过CP5611实现PLC系统与WINCC6.0通讯的前提条件是在安装有WINCC的计算机上安装CP5611通讯板卡。 II) 使用STEP7编程软件能够通过MPI正常连接PLC。 1.STEP 7 硬件组态 STEP7设置MPI通讯,具体步骤不在此详述,可参考如下图1.1示:

图1.1 注意: 新建一个MPI网络用来通讯,设置MPI网络的地址和波特率,且记住,在随后的设置中需要匹配。 2.安装CP5611通讯板卡

一般情况下,PROFIBUS CP5611会由即插即用管理器自动安装并且进入SIMATIC NET下的设备管理器中。通过路径Windows Control Panel -> System -> "Hardware" tab -> Device Manager 打开设备管理器。 如果 CP5611 (A2) 不能安装或者使用,请按照如下方法处理: 检查西门子软件是否为当前使用的Windows操作系统提供了CP5611的驱动。CP5611的驱动包含在如下软件包中: o STEP 7 o SIMATIC NET PC software o WinCC o WinCC flexible 关于上述西门子软件与不同的Windows操作系统的兼容性请参见如下条目:o STEP 7, 条目号: 8250891 o SIMATIC NET, 条目号: 9859007 o WinCC, 条目号: 21927773

Step7集成WINCC

从WinCC里调用STEP 7 的变量 1、从WinCC里调用STEP 7 变量的意义和前提条件 2、在Simatic Manager里建立新的WinCC项目 3、把现成的WinCC项目集成到STEP 7 项目中 4、把变量(符号表,共享DB)从STEP 7传送到WinCC里 5、在WinCC里直接调用STEP 7的符号表、共享DB块和背景DB里的变量 该文档的软件环境: Windows XP Professional SP1 English

图 1. 与集成相关的WinCC组件 2、在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC 项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件。 图 2. 插入OS站 你可以在OS站上点击右键,选择“Rename”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目。

图 3. 改名和打开WinCC项目 3、把现成的WinCC项目集成到STEP 7 项目中 如果你在一台计算机上已经安装了兼容的WinCC和STEP 7,并且有了一个单独使用的WinCC项目,想把它集成到一个已有的STEP 7项目中去。 那么,你必须先添加与集成相关的WinCC组件。把WinCC光盘放入光驱,并启动WinCC的安装程序。如果你已经安装了WinCC,则弹出如下画面。 图 4. 添加新的组件

WINCC与STEP7的通信

WinCC与Step7-300通讯 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”, 创建一个项目名为zx的SIMATIC 300 Station。如图4-1所示。

step7 5.5导入到WINCC画面中

WinCC STEP7 DB块中的变量如何直接导入WinCC变量表? 1、从WinCC里调用STEP 7 变量的意义和前提条件 2、在Simatic Manager里建立新的WinCC项目 3、把现成的WinCC项目集成到STEP 7 项目中 4、把变量(符号表,共享DB)从STEP 7传送到WinCC里 5、在WinCC里直接调用STEP 7的符号表或共享DB块里的变量 该文档的软件环境: Windows XP Professional SP1 English WinCC V6.0 SP2 HF2 Europe STEP 7 V5.3 SP1 1、从WinCC里调用STEP 7 变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版 本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,并且选中下图中红框标识的选件:

wincc集成到step7中的设置

https://www.wendangku.net/doc/d05939902.html,/CN/llisapi.dll?func=cslib.csinfo&lang=zh&siteid=cseus &objid=11841504 如何将已存的 WinCC 项目集成到 STEP 7 中? 隐藏订货号显示订货号订货号: 6AV6371-1.. SIMATIC WinCC Optionen/Powerpacks 6AV6371-2.. SIMATIC WinCC V7.0 6AV6381-1.. SIMATIC WinCC Software 6AV6381-2.. SIMATIC WinCC V7.0 6AV6382-1.. SIMATIC WinCC Packages 6ES7810-4.. SIMATIC S7, STEP7 Vx.x 配置注意事项: 必须安装如下 WinCC 组件以使 WinCC 项目集成到 STEP 7 中: SIMATIC 设备驱动程序 对象管理器 AS-OS 工程 这些组件可以在 WinCC 安装光盘 (图. 01)中找到。另外,WinCC 和 STEP 7 必须安装在同一台电脑上。将 WinCC 项目集成到 STEP 7 中,可以使用已有的压缩工具(packer)解压缩 WinCC 项目。 图. 01 说明: 可以通过如下选择将已有的、独立的 WinCC 项目集成到 STEP 7 中: 适用于 WinCC V6.0 SP4 或者更高版本的操作步骤 适用于 WinCC 所有版本的一般操作步骤 1. 适用于 WinCC V6.0 SP4 以及更高版本的操作步骤 序号. 步骤 1 在 SIMATIC 管理器中,执行菜单命令“选项>OS 导入…”。 图. 02 2 点击标注的按钮以打开图. 04 所示的选项窗口。 图. 03 3 选择需要导入的文件项目名.mcp 文件,点击“打开”按钮。 图. 04 4 检查为 OS 要导入的项目路径,并点击“导入OS”按钮。 图. 05 注意事项: 通过 SIMATIC 管理器导入 WinCC 项目的更多信息可参见 WinCC 信息系统 > 通 过 WinCC 工作> 在 SIMATIC Manger 中集成 WinCC > 通过 SIMATIC Manager 管 理 WinCC 项目和对象 > 通过 SIMATIC Manager 导入 WinCC 项目。 2. 适用于 WinCC 所有的版本的一般操作步骤 序号. 步骤 1 在 STEP 7 项目中插入操作员站(OS)。 图. 06

基于Step7和Wincc Flexible联合仿真教程

目录 0 项目要求: (2) 1 项目分析与规划: (2) 2 系统IO口分配: (2) 3 系统接线原理图: (2) 4 系统控制方式规划: (2) 5 系统硬件选择与组态 (3) 6 PLC程序设计 (19) 7 触摸屏通讯设置、画面设计与变量控制 (25) 8 项目仿真测试 (37) 9 现场联机调试 (42)

0 项目要求: (1)有一台三相交流异步电动机,可以用操作站上的按钮控制,也可以用监控站的触摸屏控制; (2)操作站安装有三个按钮SB1、SB2和SB3,其中按钮SB1可以实现电动机的点动控制,按钮SB2控制电动机的连续运行,按钮SB3用来控制电动机的停止。另外操作站还有一个绿色指示灯HL1,当电动机点动运行时,HL1以1Hz的频率闪烁;当电动机连续运行时,HL1常亮;电动机停止运行时,HL1熄灭。 (3)触摸屏上设置有三个按钮,分别显示“点动”、“连续”和“停止”,其作用和操作站按钮SB1、SB2和SB3作用一样。触摸屏上同时有图形和文字显示当前电动机的三种状态(点动运行、连续运行与电机停止)。 1 项目分析与规划: 在本项目中,需要使用按钮和触摸屏来控制PLC程序,进而控制电动机的运行与停止,而PLC同时要通知触摸屏显示电动机的工作状态,PLC还需要控制指示灯指示电机目前的工作状态,所以项目的控制结构如图2.1所示。 2.1 项目控制结构 2 系统IO口分配: 3 系统接线原理图: (略) 4 系统控制方式规划:

(1)PLC控制程序规划 (2)触摸屏画面规划 1)只需要一幅画面 2)需要放置三个按钮对象,名称分别为“点动”、“连续”和“停止” 3)需要用图形来表示电动机的状态,可以采取用三个图形分别表示三种状态,也可以用一个图形不同颜色来表示三种状态,还可以用一个图形不同颜色/不同表现形式表示三种状态,在实例中选择一个图形不同颜色/不同表现形式表示。 4)需要用文本来显示电动机的状态,可以用文本的可见性来完成控制效果(电动机点动时,“点动运行”文本可见并闪烁;电动机连续运行时,“连续运行”文本可见;电动机停止时,“电机停止”文本可见)。 5)触摸屏需要传送给PLC的变量包括三个按钮,需要使用布尔变量来模拟一个按钮的动作(包括按钮按下和释放);触摸屏需要读取PLC的信息来显示电动机的状态,可以使用布尔变量,也可以使用整数变量(在实例中使用整数变量较为方便),并且图形显示和文本显示可以共用一个变量。 5 系统硬件选择与组态 在本项目中,选择PLC的类型为CPU314C-2DP,该CPU集成的输入输出点可以满足系统要求。触摸屏的型号为TP177B 6” color PN/DP。 (1)新建项目,起名并保存(最好不要包括中文) 双击桌面上的“SIMATIC Manager”图标,启动西门子PLC编程软件。

相关文档