文档库 最新最全的文档下载
当前位置:文档库 › LCD1602的完整使用例子

LCD1602的完整使用例子

LCD1602的完整使用例子
LCD1602的完整使用例子

1602显示

void main() //主函数

{

uchar a;

init();

delay(20);

write_com(0x80); //第一行起始地址

delay(20);

for(a=0;a<17;a++)

{

write_date(table1[a]);//读取字符表

delay(20);

}

write_com(0xc0); //第二行起始地址

delay(20);

for(a=0;a<17;a++)

{

write_date(table2[a]);//读取字符表

delay(20);

}

while(1); //保持显示

}

本仿真利用的74LS373锁存器,其是单向传输数据,故不检测data_7这个忙数据位

1602 共有11条指令

编写好4个函数,写命令、写数据、读状态、读数据,

然后在函数的变量中写入指令的代码即可。即可完成对1602的操作

我认为指令的代码仅指的是D0~D7的8位上的数据,我觉得说明书给出的RW 、RS状态在写函数或者读函数中已经给出,此处只需考虑8位数据代码即

可。

2.光标归位指令

功能:<1> 把光标撤回到显示器的左上方;

<2> 把地址计数器(AC)的值设置为0;

<3> 保持DDRAM的内容不变。

5.设定显示屏或光标移动方向指令

功能:使光标移位或使整个显示屏幕移位。参数设定的情况如下:

S/C R/L 设定情况

0 0 光标左移1格,且AC值减1

0 1 光标右移1格,且AC值加1

1 0 显示器上字符全部左移一格,但光标不动 1 1 显示器上字符全部右移一格,但光标不动7.设定CGRAM地址指令

功能:设定下一个要存入数据的CGRAM的地址。

8.设定DDRAM地址指令

功能:设定下一个要存入数据的CGRAM的地址。

9.读取忙信号或AC地址指令

功能:<1> 读取忙碌信号BF的内容,

BF=1表示液晶显示器忙,暂时无法接收单片机送来的数据或指令;

当BF=0时,液晶显示器可以接收单片机送来的数据或指令;

<2> 读取地址计数器(AC)的内容。

10.数据写入DDRAM或CGRAM指令一览

功能:<1> 将字符码写入DDRAM,以使液晶显示屏显示出相对应的字符;

<2> 将使用者自己设计的图形存入CGRAM。

11.从CGRAM或DDRAM读出数据的指令一览

功能:读取DDRAM或CGRAM中的内容。

图10-56 写操作时序RS=L,R/W=L,D0—D7=指令码,E=高脉冲void write_com(uchar com) //写命令函数

{

RS=0;

EN=0;

RW=0;

delay(10);

EN=1;

delay(10);

EN=0;

}

RS=H,R/W=L,D0—D7=数据,E=高脉冲void write_date(uchar date) //写数据函数

{

RS=1;

EN=0;

RW=0;

delay(10);

EN=1;

delay(10);

EN=0;

}

void LCD_Init(void)

{

LCD_DATA=0;

LCD_Write_Cmd(0x38,0); //三次显示模式设置,不检测忙信号

LCD_Delay(5);

LCD_Write_Cmd(0x38,0);

LCD_Delay(5);

LCD_Write_Cmd(0x38,0);

LCD_Delay(5);

LCD_Write_Cmd(0x38,1); //显示模式设置,开始要求检测忙信号:8位、2行、5X7点阵

6.功能设定指令

功能:设定数据总线位数、显示的行数及字型。参数设定的情况如下:

位名设置

DL 0=数据总线为4位 1=数据总线为8位

N 0=显示1行 1=显示2行

F 0=5×7点阵/每字符1=5×10点阵/每字符

LCD_Write_Cmd(0x08,1); //关闭显示

4.显示开关控制指令

功能:控制显示器开/关、光标显示/关闭以及光标是否闪烁。参数设定的情况如下:

位名设置

D 0=显示功能关 1=显示功能开

C 0=无光标 1=有光标

B 0=光标闪烁 1=光标不闪烁

LCD_Write_Cmd(0x01,1); //清屏

1.清屏指令

功能:<1> 清除液晶显示器,即将DDRAM的内容全部填入"空白"的ASCII码20H;

<2> 光标归位,即将光标撤回液晶显示屏的左上方;

<3> 将地址计数器(AC)的值设为0。

LCD_Write_Cmd(0x06,1); //显示光标移动设置:文字不动,光标自动右移

3.进入模式设置指令

功能:设定每次定入1位数据后光标的移位方向,并且设定每次写入的一个字符是否移动。参数设定的情况如下所示:

位名设置

I/D 0=写入新数据后光标左移 1=写入新数据后光标右移

S 0=写入新数据后显示屏不移动 1=写入新数据后显示屏整体右移1个字符

LCD_Write_Cmd(0x0C,1); //显示开及光标设置:光标关、光标不闪烁

4.显示开关控制指令

D 0=显示功能关 1=显示功能开

C 0=无光标 1=有光标

B 0=光标闪烁 1=光标不闪烁

#include

#define uchar unsigned char

#define uint unsigned int

sbit RS=P1^0; //数据/命令选择端(H/L)

sbit EN=P1^2; //使能端

sbit RW=P1^1; //读/写选择端(H/L),本文章只写,所以该端口供低电平

sbit wela=P3^3;//锁存器位选信号

uchar table1[]="I love you wuniu";//字符表1

uchar table2[]="you are my wife.";//字符表2

void delay(uint x) //延时函数

{

uint a,b;

for(a=x;a>0;a--)

for(b=10;b>0;b--);

}

void delay1(uint x) //延时函数

{

uint a,b;

for(a=x;a>0;a--)

for(b=100;b>0;b--);

}

//**************************写命令函数******************************* void write_com(uchar com)

{

wela=1; //锁存器位选打开

P2=com; //向P2口写命令

wela=0; //锁存器位选关闭

RS=0; //以下部分跟据1602手册的时序图写出

EN=0;

RW=0;

delay(10);

EN=1;

delay(10);

EN=0;

}

//*******************写数据函数*************************************** void write_date(uchar date) {

wela=1;

P2=date;

wela=0;

RS=1;

EN=0;

RW=0;

delay(10);

EN=1;

delay(10);

EN=0;

}

//************************初始化函数****************************

void init()

{

write_com(0x38); //三次显示模式设置,不检测忙信号

delay(5);

write_com(0x38);

delay(5);

write_com(0x38);

delay(5);

write_com(0x38); //显示模式设置,开始要求检测忙信号:8位、2行、5X7点阵

write_com(0x08); //关闭显示

write_com(0x01); //清屏

write_com(0x06); //显示光标移动设置:文字不动,光标自动右移

write_com(0x0C); //显示开及光标设置:光标关、光标不闪烁

}

//**************************主函数********************************** void main()

{

uchar a;

init();

write_com(0x80); //第一行起始地址

delay(20);

for(a=0;a<17;a++)

{

write_date(table1[a]);//读取字符表

delay(20);

}

write_com(0xc0); //第二行起始地址

delay(20);

for(a=0;a<17;a++)

{

write_date(table2[a]);//读取字符表

delay(20);

}

while(1); //保持显示}

LCD1602驱动详解

一.接口 LCD1602是很多单片机爱好者较早接触的字符型液晶显示器,它的主控芯片是HD44780或者其它兼容芯片。刚开始接触它的大多是单片机的初学者。由于对它的不了解,不能随心所欲地对它进行驱动。经过一段时间的学习,我对它的驱动有了一点点心得,今天把它记录在这里,以备以后查阅。与此相仿的是LCD12864液晶显示器,它是一种图形点阵显示器,能显示的内容比LCD1602要丰富得多,除了普通字符外,还可以显示点阵图案,带有汉字库的还可以显示汉字,它的并行驱动方式与LCD1602相差无几,所以,在这里花点时间是值得的。 一般来说,LCD1602有16条引脚,据说还有14条引脚的,与16脚的相比缺少了背光电源A(15脚)和地线K(16脚)。我手里这块LCD16 02的型号是HJ1602A,是绘晶科技公司的产品,它有16条引脚。如图1所示:

图1 再来一张它的背面的,如图2所示:

图2它的16条引脚定义如下:

3. VO是液晶显示的偏压信号,可接10K的3296精密电位器。或同样阻值的RM065/RM063蓝白可调电阻。见图3。 图3 4. RS是命令/数据选择引脚,接单片机的一个I/O,当RS为低电 平时,选择命令;当RS为高电平时,选择数据。 5. RW是读/写选择引脚,接单片机的一个I/O,当RW为低电平时,向LCD1602写入命令或数据;当RW为高电平时,从LCD1602读取状态 或数据。如果不需要进行读取操作,可以直接将其接VSS。 6. E,执行命令的使能引脚,接单片机的一个I/O。 7. D0—D7,并行数据输入/输出引脚,可接单片机的P0—P3任意 的8个I/O口。如果接P0口,P0口应该接4.7K—10K的上拉电阻。如果是4线并行驱动,只须接4个I/O口。 8. A背光正极,可接一个10—47欧的限流电阻到VDD。 9. K背光负极,接VSS。见图4所示。

LCD1602高手使用详解

LCD1602高手使用详解 前面总算走完了对AVR MEGA16这块单片机的一些基本的应用方式了,这时候大家对AVR的一些内部资源比如定时器,ADC,最主要的IO口的使用方式应该有了一个虽比较粗浅但是却比较形象的认识了。这节我们来看使用单片机的另外一大主题,就是用单片机来实现芯片控制。 在前面的数码管显示一文中,就已经涉及到了用单片机来控制芯片为我们工作,CEPARK AVR开发板,为了达到增强驱动能力和节省IO口的作用,运用了移位寄存器74HC595来驱动两个四位八段数码管,是一个十分有创意的设计。但是前面的内容重心还是集中于对AVR的IO口的控制,所以,我们从这节开始要正式逐渐深入的接触各种芯片了。 先做个引子。单片机是一种微控制器,本身内部集成了数种资源比如CPU、内存、内部和外部总线系统,目前大部分还会具有外存。他的主要任务是利用各种资源实现电平控制,可以以此控制与它相连的下级系统,广泛用于工业自动控制领域。 我们就从这句话出发,首先单片机用来做控制用的,而且是利用的是本身的内部资源。但是,它的功能再强大,资源再丰富也总有一个上限,总有枯竭的一天。所以我们常常利用单片机外接芯片来弥补或者增强单片机的功能来完成我们所需功能的电路。比如程序存储器不足,可以外接外部存储器,比如单片机内部中断级不足,可以外接中断控制器等等。大家可以从这个角度来理解芯片控制的意义罢。 今天我们用AVR单片机来实现对LCD1602液晶显示芯片的控制。 首先从这个名字讲起,LCD:英文全称为Liquid Crystal Display,即为液态晶体显示,也就是我们常说的液晶显示了。(平时老说LCDLCD,可能大家也都不怎么注意过这个全称吧,呵呵,当增加词汇量了)1602则是表示这个液晶一共能显示2行数据,每一行显示16个字符。这个就是LCD1602的全部来由。 液晶显示的使用有多广泛我就不多说了,LCD1602好像10元左右就可以拿到了的,不算贵。我们来看看现在市面都有哪些样子的1602,下面从网上搜罗了几个(我手上的这个是蓝色背光白色字体的):

lcd1602使用说明

1602采用标准的16脚接口,其中: 第1脚:VSS为地电源 第2脚:VDD接5V正电源 第3脚:V0为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高,对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度 第4脚:RS为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。 第5脚:RW为读写信号线,高电平时进行读操作,低电平时进行写操作。当RS和RW共同为低电平时可以写入指令或者显示地址,当RS为低电平RW为高电平时可以读忙信号,当RS为高电平RW为低电平时可以写入数据。 第6脚:E端为使能端,当E端由高电平跳变成低电平时,液晶模块执行命令。 第7~14脚:D0~D7为8位双向数据线。 第15~16脚:空脚

1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,如表1所示,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A” 1602液晶模块内部的控制器共有11条控制指令,如表2所示,

它的读写操作、屏幕和光标的操作都是通过指令编程来实现的。(说明:1为高电平、0为低电平) 指令1:清显示,指令码01H,光标复位到地址00H位置 指令2:光标复位,光标返回到地址00H 指令3:光标和显示模式设置 I/D:光标移动方向,高电平右移,低电平左移 S:屏幕上所有文字是否左移或者右移。高电平表示有效,低电平则无效 指令4:显示开关控制。 D:控制整体显示的开与关,高电平表示开显示,低电平表示关显示 C:控制光标的开与关,高电平表示有光标,低电平表示无光标 B:控制光标是否闪烁,高电平闪烁,低电平不闪烁指令5:光标或显示移位 S/C:高电平时移动显示的文字,低电平时移动光标

LCD1602等液晶屏使用注意事项

LCD1602等液晶屏使用注意事项 很多人都在使用LCD1602等液晶做显示,结合我自己使用的体会,说几点: 一、为什么开机后,程序中写的开机菜单(如logo等)没有显示就直接进入后面的代码,而且极容易出现满屏乱码? 答:系统的电源从上电到稳定要有几十毫秒甚至更长的时间,这段时间极易使单片机程序走乱,或者开始几行代码没有正确执行。解决的方法是在配置位(--CONFIG())中一定要加入上电定时使能位:PWR TEN。这样就可以使得单片机在上电时的72MS内保持复位状态,避免由于电压还未稳定而发生程序执行错误等问题。 二、程序可以正确执行,也能正确显示,但运行一段时间后,在字符间隔的空白处会出现乱码,如何解决? 答:这可能是干扰所导致的,可以这样解决:在字符间隔的空白处,要写入空格符补齐(注意:空格也是字符!),如数组里的字符串,即使不足16位,后面也要用空格补齐到16位。其他没有字符的空白处,同样要写入空格,总的原则是:所有字符间隔的空白处,一定不要使它们处于游离状态! 三、为什么在清屏之后的显示内容上,往往会缺失第一行前面的几个字符? 答:清屏是要耗时的。因此在清屏命令之后一定要安排一条延时50MS以上的命令,不然因为延时不够,单片机来不及,就会使得第一行前面几个字符来不及写。形成空格,或所有字符都会退后几个位置。 四、为什么屏幕上显示的字符和程序中设定的位置不同,如退后几格? 答:仍然是要正确的安排延时的问题。很多朋友的程序可能是引用书上或网上下的,这时要特别注意的是:原来使用的晶振的频率和你现在使用的晶振是否一致? 举一例:如果原来的程序是在4M时用的,那你引用后是在8M中使用,那所有的延时函数的时间都不对了(延时时间减少了一半!),LCD1602在使用中,很多地方都安排有延时,如果延时时间不够,就不能正确显示。解决的办法是重新修改延时函数的值,使之符合要求。 五、程序可以正确执行,也能正确显示,但连续运行一段时间后(可能几个小时到几天),显示屏上原来正确显示的字符会变成乱码。如何解决? 答:有些人喜欢将屏幕上一成不变的的那些字符,写在大循环之前,即一次写成之后,永远不再改变,这样做从道理上说并无问题,程序也比较简单明了。但是如果长时间运行,可能遇到干扰等原因,会使个别字符出现乱码。我的做法是在大循环中,安排一定的时间刷新一次屏幕(重写一次),这样即使因干扰出现乱码,也能及时更新。刷新的安排有多种方法:如安排在更新数据的同时更新那些不变的字符,比如温度显示,(如28*C)温度值是不断更新的,但*C是永远不要更新的。实际上程序中可能还有其他功能,

lcd1602时序

前面总算走完了对AVR MEGA16这块单片机的一些基本的应用方式了,这时候大家对AVR的一些内部资源比如定时器,ADC,最主要的IO口的使用方式应该有了一个虽比较粗浅但是却比较形象的认识了。这节我们来看使用单片机的另外一大主题,就是用单片机来实现芯片控制。 在前面的数码管显示一文中,就已经涉及到了用单片机来控制芯片为我们工作,CEPARK AVR开发板,为了达到增强驱动能力和节省IO口的作用,运用了移位寄存器74HC595来驱动两个四位八段数码管,是一个十分有创意的设计。但是前面的内容重心还是集中于对AVR的IO口的控制,所以,我们从这节开始要正式逐渐深入的接触各种芯片了。 先做个引子。单片机是一种微控制器,本身内部集成了数种资源比如CPU、内存、内部和外部总线系统,目前大部分还会具有外存。他的主要任务是利用各种资源实现电平控制,可以以此控制与它相连的下级系统,广泛用于工业自动控制领域。 我们就从这句话出发,首先单片机用来做控制用的,而且是利用的是本身的内部资源。但是,它的功能再强大,资源再丰富也总有一个上限,总有枯竭的一天。所以我们常常利用单片机外接芯片来弥补或者增强单片机的功能来完成我们所需功能的电路。比如程序存储器不足,可以外接外部存储器,比如单片机内部中断级不足,可以外接中断控制器等等。大家可以从这个角度来理解芯片控制的意义罢。 今天我们用AVR单片机来实现对LCD1602液晶显示芯片的控制。 首先从这个名字讲起,LCD:英文全称为Liquid Crystal Display,即为液态晶体显示,也就是我们常说的液晶显示了。(平时老说LCDLCD,可能大家也都不怎么注意过这个全称吧,呵呵,当增加词汇量了)1602则是表示这个液晶一共能显示2行数据,每一行显示16个字符。这个就是LCD1602的全部来由。 液晶显示的使用有多广泛我就不多说了,LCD1602好像10元左右就可以拿到了的,不算贵。我们来看看现在市面都有哪些样子的1602,下面从网上搜罗了几个(我手上的这个是蓝色背光白色字体的): 其实显而易,见也就是背光和字体的颜色不一样罢,不过老实说,蓝色背光的1602看上去显得确实比较亮,也许是人眼视觉的关系。 接下来进入LCD1602使用的重点:操作时序。操作时序永远使用是任何一片IC 芯片的最主要的内容。一个芯片的所有使用细节都会在它的官方器件手册上包含。所以使用一个器件事情,要充分做好的第一件事就是要把它的器件手册上有

LCD1602显示控制器设计

EDA技术课程设计 题目 LCD1602显示控制器设计 系 (部) 班级 姓名 学号 指导教师 2014 年06 月 30 日至 07 月 06 日共 1 周2014年07月02日

课程设计成绩评定表

目录 目录 (3) 1 引言 (4) 2 VHDL/ QuartusII简介 (5) 3 系统设计 (6) 3.1 整体功能 (6) 3.2 各模块功能设计 (6) 3.2.1 功能 (6) 3.2.2 模块引脚 (6) 3.2.3 程序 (7) 3.2.4 仿真图 (12) 4 系统调试及下载......................................................................................... 错误!未定义书签。 5 设计总结 (14)

1 引言 通过对LCD1602/LCD12864显示模块控制时序和指令集的对比分析,利用Verilog HDL描述语言完成了多功能LCD显示控制模块的IP核设计,所设计的LCD显示控制器具有很好的可移植性,只需通过端口的使能参数配置便可以驱动LCD1602/LCD12864模块实现字符或图形的实时显示,并且该多功能LCD控制器的可行性也在CycloneⅡ系列的EP2C5T144C8 FPGA芯片上得到了很好的验证。基于FPGA设计 LCD显示控制器,关键在于采用硬件描述语言设计有限状态机(FSM)来控制LCD模块的跳转,文献中就是使用FSM实现了对LCD模块的显示控制,但是它们都是针对一种类型LCD模块的某种显示模式,不具有多模式的显示控制能力。因此,多功能LCD显示控制器的有限状态机就需要设置更多的条件转换,来实现多种控制模式。系统上电后,首先完成持续大约0.1 s(根据时钟频率配置)的自动复位,然后才根据模块的端口参数选择不同显示模式所对应的初始化命令,在状态机中设置有初始化命令、起始行地址和屏显示数据3条转换路径来适应LCD屏的工作状态,同时也在关键转换路径上设置有可以配置的延时循环,这样既能方便LCD模块的工作调试,又能使LCD模块一直工作在写屏模式(RW=0)。对于LCD屏的显示数据存储可以完全采用FPGA内嵌的ROM/RAM单元实现,如果使用双口RAM(存储器读写独立)就能实现LCD模块的动态实时显示。

LCD1602的电路图和程序

MS 基于1602字符型液晶显示器的显示系统 姓名:杨越 班级:电子11-1 学号:110400104

一、实习目的 (1)了解飞思卡尔单片机的基本原理,掌握其基本的工作流程。 (2)了解LCD1602的基本原理及用法。 (3)能够熟练使用CodeWarrior软件编写C语言程序,使用BDM仿真器下载 程序。 (4)能够熟练焊接电路板。 二、实验设备与器件 CodeWarrior软件,BDM仿真器,万用电路板,飞思卡尔单片机,LCD1602液晶显示器, 三、实验内容 内容:利用飞思卡尔单片机制作基于1602字符液晶显示器的显示系统 要求:用四个按键控制,按下第一个按键显示1,按下第二个按键显示2,以此类推。 (1)LCD1602液晶显示器的原理:1602共16个管脚,但是编程用到的主要管脚不过三个,分别为:RS(数据命令选择端),R/W(读写选择端),E(使能信号); 以后编程便主要围绕这三个管脚展开进行初始化,写命令,写数据。 以下具体阐述这三个管脚: RS为寄存器选择,高电平选择数据寄存器,低电平选择指令寄存器。 R/W为读写选择,高电平进行读操作,低电平进行写操作。 E端为使能端,后面和时序联系在一起。 除此外,D0~D7分别为8位双向数据线。 操作时序:

注:关于E=H脉冲——开始时初始化E为0,然后置E为1,再清0. 读取状态字时,注意D7位,D7=1,禁止读写操作;D7=0,允许读写操作; 所以对控制器每次进行读写操作前,必须进行读写检测。(即后面的读忙子程序) 指令集: LCD_1602 初始化指令小结: 0x38 设置16*2显示,5*7点阵,8位数据接口 0x01 清屏 0x0F 开显示,显示光标,光标闪烁 0x08 只开显示 0x0e 开显示,显示光标,光标不闪烁 0x0c 开显示,不显示光标 0x06 地址加1,当写入数据的时候光标右移 0x02 地址计数器AC=0;(此时地址为0x80)光标归原点,但是DDRAM中断内容不变 0x18 光标和显示一起向左移动 (2)飞思卡尔单片机的功能及特点:MC9S12XS128是 16 位单片机,由 16 位中央处理单元(CPU12X)、128KB 程序、Flash(P-lash)、8KB RAM、8KB 数据Flash(D-lash)组成片内存储器。主要功能模块包括:内部存储器,内部 PLL 锁相环模块,2 个异步串口通讯 SCI ,1个串行外设接口 SPI MSCAN 模块,1 个8 通道输入/输出比较定时器模块 TIM ,周期中断定时器模块 PIT ,16 通道 A/D 转换模块 ADC ,1 个 8 通道脉冲宽度调制模块 PWM ,输入/输出数字 I/O 口。

基于FPGA的LCD1602原理综述

LCD1602的简单使用原理——跟大家分享我学习1602的总 结与体会 我的总结主要分为这几部分: ①LCD1602的硬件特性及引脚功能 ②LCD1602的时序特性 ③LCD1602的使用原理(包括带字库和不带字库的简要使用方法,控制显示指令) ④基于FPGA的LCD1602使用案例 Part 1. LCD1602的硬件特性及引脚功能 LCD1602顾名思义是一种02*16,即为两行十六列的液晶显示屏,液晶两行,每行可以显示16个字符,但是CGRAM及CGROM里面一共有160个字符,包括阿拉伯数字,英文字母大小写,常用符号及日文。每个字符对应于一个ASCII码值,在液晶显示屏上显示对应的字符时候,只需要将对应的ASCII码写到DDRAM中就好,详细的步骤会在下面细说。液晶板上排列着5*8的字符点阵,8行,每行5个点位,高电平1就是该点显示,低电平0就是该点不显示。 一、引脚功能: RS,R/W,E控制数据端口DB0~DB7,数据的命令的读写由控制端口控制,并通过数据端口传输。端口其他特性这里不再赘述,详细见1602液晶手册。O(∩_∩)O

二、硬件特性: ①CGRAM 和CGROM CGRAM:character generator ram CGROM:character generator rom CGRAM的地址空间: CGRAM的地址是0x40~0x7F, 64个地址空间,每个地址双字节,一共128字节,一个字符是8个字节,所以一共能显示8个自定义字符(每个双字节地址只有一个字节是被自定义字符数据写入的,另外个字节无效,因为CGARM的字符代码的规定,详细原因见下面) 字符对应的区位码如下图所示: CGRAM: 字符产生ram,用来存放用户自定义的字符,如上图的两条(1)~(8),区位码为0x00~0x0F.0x00~0x07对应于(1)~(8);0x08~0x0F对应于下一条(1)~(8),虽然看起来有16个地址,但是其实只要8个地址可用,CGRAM的“字符码”规定0~2为地址,3位无效,4~7位全为0,因此CGRAM的字符码等效为0000X111,X为无效位,最后三位的地址只要八个,所以实际能用的只有8个。 其他为CGROM中自带的字符,区位码从0x21~0x7F,以各自的ASCII码作为区位码表示的基本字符。 将自定义的字符字模数8*8据写入,字符数据有八行,每行八位点阵。 ②DDRAM DDRAM:data display ram数据显示存储器

基于51和PROTEUS的液晶显示屏LCD1602的使用

液晶显示屏1602的使用 一、L CD1602显示字符‘A’ 斧头帮2010-05-10 Proteus仿真图 C程序: /****************************************** 实例81:用LCD显示字符'A' *******************************************/ #include //包含单片机寄存器的头文件 #include //包含_nop_()函数定义的头文件 sbit RS=P2^0; //寄存器选择位,将RS位定义为P2.0引脚 sbit RW=P2^1; //读写选择位,将RW位定义为P2.1引脚 sbit E=P2^2; //使能信号位,将E位定义为P2.2引脚 sbit BF=P0^7; //忙碌标志位,,将BF位定义为P0.7引脚 /***************************************************** 函数功能:延时1ms (3j+2)*i=(3×33+2)×10=1010(微秒),可以认为是1毫秒

***************************************************/ void delay1ms() { unsigned char i,j; for(i=0;i<10;i++) for(j=0;j<33;j++) ; } /***************************************************** 函数功能:延时若干毫秒 入口参数:n ***************************************************/ void delay(unsigned char n) { unsigned char i; for(i=0;i

LCD1602 芯片手册

Zhenhua Rd, Futian District, Shenzhen, China Address: Room 621, DingCheng International Building,Inquiry&Order:waveshare_1@163.comLCD1602WaveShare Waveshare LCD1602 PAGE 1 系列 售前咨询:waveshare_1@163.com售后服务:waveshare_2@163.com 公司地址:深圳市福田区华强北振华路鼎诚国际大厦621研发分部:深圳市南山区深职院电子应用中心 After Service:waveshare_2@163.com

PAGE 2 REV. NO. REV. DATE DESCRIPTION OF REVISION PAGE REMARK 20/10/07 INITIAL RELEASE ALL ?REVISION RECORD Waveshare LCD1602

PAGE 3 CONTENTS 1.FEATURES ……………………………………………...........................…. 2.MECHANICAL SPEC ……………………………………………………..…. 3.ABSOLUTE MAXIMUM RATING ………………………..…………………. 4.ELECTRICAL CHARACTERISTICS …..…………………………………… 5.ELECTRO-OPTICAL CHARACTERISTICS .…………...…………………. 6.QC/QA PROCEDURE ......………………………………...………………... 7.RELIABILITY .……...…………………………………………………..……... 8.BLOCK DIAGRAM ..……………………………………………………….… 9.POWER SUPPLY …………………..……………………………………….. 10.TIMIING DIAGRAM ……………………………….…..………….………....11.AC CHARACTERISTICS…………………………………………………….12.INSTRUCTION SET ……..…………….………………………..…………... 13.INITIALIZATION SEQUENCE ……………………………….…………….. 14.FONT TABLE…..……..……..………………………………………….…….15.HANDLING PRECAUTION.……..……..…………………………………... 16.EXTERNAL DIMENSION .....……..…………………………….…………..17. INTERFACE (445567899) 1011121314151819 Waveshare LCD1602

LCD1602工作原理及其与51单片机地接口电路

1602LCD 分为带背光和不带背光两种,基控制器大部分为HD44780,带背光的比不带背光的厚,是否带背光在应用中并无差别 1602LCD 主要技术参数: 显示容量:16×2 个字符 芯片工作电压:4.5—5.5V 工作电流:2.0mA(5.0V) 模块最佳工作电压:5.0V 字符尺寸:2.95×4.35(W×H)mm 引脚功能说明 1602LCD 采用标准的14脚(无背光)或16脚(带背光)接口,各引脚接口说明如表10-13 所示: 编号符号引脚说明编号符号引脚说明 1 VSS 电源地9 D 2 数据 2 VDD 电源正极10 D 3 数据 3 VL 液晶显示偏压11 D 4 数据 4 RS 数据/命令选择12 D 5 数据 5 R/W 读/写选择13 D 6 数据 6 E 使能信号14 D 7 数据 7 D0 数据15 BLA 背光源正极 8 D1 数据16 BLK 背光源负极 表10-13:引脚接口说明表 第1 脚:VSS 为地电源。 第2 脚:VDD接5V正电源。 第 3 脚:VL为液晶显示器对比度调整端,接正电源时对比度最弱,接地时对比度最高,对 比度过高时会产生“鬼影”,使用时可以通过一个10K 的电位器调整对比度。 第4 脚:RS 为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。 第5 脚:R/W 为读写信号线,高电平时进行读操作,低电平时进行写操作。当RS和R/W 共同为低电平时可以写入指令或者显示地址,当RS 为低电平R/W 为高电平时可以读忙信号,当RS 为高电平R/W为低电平时可以写入数据。 第6 脚:E端为使能端,当 E 端由高电平跳变成低电平时,液晶模块执行命令。 第7~14脚:D0~D7为8 位双向数据线。 第15脚:背光源正极。 第16脚:背光源负极。

LCD1602的完整使用例子

1602显示 void main() //主函数 { uchar a; init(); delay(20); write_com(0x80); //第一行起始地址 delay(20); for(a=0;a<17;a++) { write_date(table1[a]);//读取字符表 delay(20); } write_com(0xc0); //第二行起始地址 delay(20); for(a=0;a<17;a++) { write_date(table2[a]);//读取字符表 delay(20); } while(1); //保持显示 }

本仿真利用的74LS373锁存器,其是单向传输数据,故不检测data_7这个忙数据位 1602 共有11条指令 编写好4个函数,写命令、写数据、读状态、读数据, 然后在函数的变量中写入指令的代码即可。即可完成对1602的操作 我认为指令的代码仅指的是D0~D7的8位上的数据,我觉得说明书给出的RW 、RS状态在写函数或者读函数中已经给出,此处只需考虑8位数据代码即 可。

2.光标归位指令 功能:<1> 把光标撤回到显示器的左上方; <2> 把地址计数器(AC)的值设置为0; <3> 保持DDRAM的内容不变。 5.设定显示屏或光标移动方向指令 功能:使光标移位或使整个显示屏幕移位。参数设定的情况如下: S/C R/L 设定情况 0 0 光标左移1格,且AC值减1 0 1 光标右移1格,且AC值加1 1 0 显示器上字符全部左移一格,但光标不动 1 1 显示器上字符全部右移一格,但光标不动7.设定CGRAM地址指令 功能:设定下一个要存入数据的CGRAM的地址。

手把手教你使用LCD1602(C程序+每个语句的详细说明)

#ifndef __LCD_H__ #define __LCD_H__ #include //包含单片机寄存器的头文件 #include //包含_nop_()函数定义的头文件 #include #include //------------------------------------------------------------------------------ sbit RS=P2^0; //寄存器选择位,将RS位定义为P2.0引脚 sbit RW=P2^1; //读写选择位,将RW位定义为P2.1引脚 sbit E=P2^2; //使能信号位,将E位定义为P2.2引脚 sbit BF=P0^7; //忙碌标志位,,将BF位定义为P0.7引脚 //---------------------------------------------------------------------------------- extern void LCDINT(); //1602LCD初始化 //--------------------------------------------------------------------------------------------------- extern void lcd_set_mode(uchar DL, uchar N, uchar F); //功能设定/*显示设置函数*/ //--------------------------------------------------------------------------------------------- extern void lcd_shift(unsigned char SC, unsigned char RLAA);//设置光标和显示屏移动方向/*光标移动设置*/ //------------------------------------------------------------------------------------ extern void lcd_display_mode(uchar D, uchar C,uchar B); //显示设置函数 //------------------------------------------------------------------------------------------ extern void lcd_input_mode(uchar id,uchar s); //输入方式设置函数(光标、屏幕) //---------------------------------------------------------------------------------------- extern void lcd_clear(void); //清屏函数 //------------------------------------------------------------------------------------------- extern void lcd_backhome(void); //光标归位指令/*使AC指针回到屏幕左上角*/ //-------------------------------------------------------------------------------/*向LCM1602写命令*/ extern void write_com(uchar dictate); //将指令写入液晶 //------------------------------------------------------------------------------- extern bit busytest(); //判断液晶模块的忙碌状态result=1,忙碌;result=0,不忙 //-------------------------------------------------------------------------------------------- extern void lcd_put_str(uchar x, uchar y, uchar *str); ///*字符串写入显示*/ //---------------------------------------------------------------------------------- extern void writeaddress(uchar x,uchar y); //指定字符显示的地址和位置/*设置DDRAM地址*/

LCD1602和LM016l中文资料(程序和使用说明)

LM016l 与LCD1602原理是一样的,只不过PROTEUS中016没显示调亮度的那两个端口,但并不影响。 在日常生活中,我们对液晶显示器并不陌生。液晶显示模块已作为很多电子产品的通过器件,如在计算器、万用表、电子表及很多家用电子产品中都可以看到,显示的主要是数字、专用符号和图形。在单片机的人机交流界面中,一般的输出方式有以下几种:发光管、LED 数码管、液晶显示器。发光管和LED数码管比较常用,软硬件都比较简单,在前面章节已经介绍过,在此不作介绍,本章重点介绍字符型液晶显示器的应用。 在单片机系统中应用晶液显示器作为输出器件有以下几个优点: 显示质量高 由于液晶显示器每一个点在收到信号后就一直保持那种色彩和亮度,恒定发光,而不像阴极射线管显示器(CRT)那样需要不断刷新新亮点。因此,液晶显示器画质高且不会闪烁。数字式接口 液晶显示器都是数字式的,和单片机系统的接口更加简单可靠,操作更加方便。 体积小、重量轻 液晶显示器通过显示屏上的电极控制液晶分子状态来达到显示的目的,在重量上比相同显示面积的传统显示器要轻得多。 功耗低 相对而言,液晶显示器的功耗主要消耗在其内部的电极和驱动IC上,因而耗电量比其它显示器要少得多。 10.8.1 液晶显示简介 ①液晶显示原理 液晶显示的原理是利用液晶的物理特性,通过电压对其显示区域进行控制,有电就有显示,这样即可以显示出图形。液晶显示器具有厚度薄、适用于大规模集成电路直接驱动、易于实现全彩色显示的特点,目前已经被广泛应用在便携式电脑、数字摄像机、PDA移动通信工具等众多领域。 ②液晶显示器的分类 液晶显示的分类方法有很多种,通常可按其显示方式分为段式、字符式、点阵式等。除了黑白显示外,液晶显示器还有多灰度有彩色显示等。如果根据驱动方式来分,可以分为静态驱动(Static)、单纯矩阵驱动(Simple Matrix)和主动矩阵驱动(Active Matrix)三种。 ③液晶显示器各种图形的显示原理: 线段的显示 点阵图形式液晶由M×N个显示单元组成,假设LCD显示屏有64行,每行有128列,每8列对应1字节的8位,即每行由16字节,共16×8=128个点组成,屏上64×16个显示单元与显示RAM区1024字节相对应,每一字节的内容和显示屏上相应位置的亮暗对应。例如屏的第一行的亮暗由RAM区的000H——00FH的16字节的内容决定,当(000H)=FFH 时,则屏幕的左上角显示一条短亮线,长度为8个点;当(3FFH)=FFH时,则屏幕的右下角显示一条短亮线;当(000H)=FFH,(001H)=00H,(002H)=00H,……(00EH)=00H,(00FH)=00H时,则在屏幕的顶部显示一条由8段亮线和8条暗线组成的虚线。这就是LCD显示的基本原理。 字符的显示 用LCD显示一个字符时比较复杂,因为一个字符由6×8或8×8点阵组成,既要找到和显示屏幕上某几个位置对应的显示RAM区的8字节,还要使每字节的不同位为“1”,其它的为“0”,为“1”的点亮,为“0”的不亮。这样一来就组成某个字符。但由于内带字符发生器的控制器来说,显示字符就比较简单了,可以让控制器工作在文本方式,根据在LCD上开始显

LCD1602高手使用详解

事无巨细,LCD1602 前面总算走完了对AVR MEGA16这块单片机的一些基本的应用方式了,这时候大家对AVR的一些内部资源比如定时器,ADC,最主要的IO口的使用方式应该有了一个虽比较粗浅但是却比较形象的认识了。这节我们来看使用单片机的另外一大主题,就是用单片机来实现芯片控制。在前面的数码管显示一文中,就已经涉及到了用单片机来控制芯片为我们工作,CEPARK AVR开发板,为了达到增强驱动能力和节省IO口的作用,运用了移位寄存器74HC595来驱动两个四位八段数码管,是一个十分有创意的设计。但是前面的内容重心还是集中于对AVR的IO口的控制,所以,我们从这节开始要正式逐渐深入的接触各种芯片了。 先做个引子。单片机是一种微控制器,本身内部集成了数种资源比如CPU、内存、内部和外部总线系统,目前大部分还会具有外存。他的主要任务是利用各种资源实现电平控制,可以以此控制与它相连的下级系统,广泛用于工业自动控制领域。 我们就从这句话出发,首先单片机用来做控制用的,而且是利用的是本身的内部资源。但是,它的功能再强大,资源再丰富也总有一个上限,总有枯竭的一天。所以我们常常利用单片机外接芯片来弥补或者增强单片机的功能来完成我们所需功能的电路。比如程序存储器不足,可以外接外部存储器,比如单片机内部中断级不足,可以外接中断控制器等等。大家可以从这个角度来理解 芯片控制的意义罢。 今天我们用AVR单片机来实现对LCD1602液晶显示芯片的控制。 首先从这个名字讲起,LCD:英文全称为Liquid Crystal Display,即为液态晶体显示,也就是我们常说的液晶显示了。(平时老说LCDLCD,可能大家也都不怎么注意过这个全称吧,呵呵,当增加词汇量了)1602则是表示这个液晶一共能显示2行数据,每一行显示16个字符。这个就 是LCD1602的全部来由。 液晶显示的使用有多广泛我就不多说了,LCD1602好像10元左右就可以拿到了的,不算贵。我们来看看现在市面都有哪些样子的1602,下面从网上搜罗了几个(我手上的这个是蓝色背光白 色字体的):

LCD1602液晶使用说明

LCD1602液晶使用说明 具体如下: 1602采用标准的14脚接口,其中: 第1脚:VSS为地电源 第2脚:VDD接5V正电源 第3脚:V0为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高,对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度 第4脚:RS为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。 第5脚:RW为读写信号线,高电平时进行读操作,低电平时进行写操作。当RS和RW共同为低电平时可以写入指令或者显示地址,当RS为低电平RW为高电平时可以读忙信号,当RS为高电平RW为低电 平时可以写入数据。 第6脚:E端为使能端,当E端由高电平跳变成低电平时,液晶模块执行命令。 第7~14脚:D0~D7为8位双向数据线。 另外引脚"A"和"K"为背光引脚,"A"接正,"K"接负便会点亮背光灯. 1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,如表1所示,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A”

1602液晶模块内部的控制器共有11条控制指令,如表2所示, 它的读写操作、屏幕和光标的操作都是通过指令编程来实现的。(说明:1为高电平、0为低电平) 指令1:清显示,指令码01H,光标复位到地址00H位置 指令2:光标复位,光标返回到地址00H 指令3:光标和显示模式设置I/D:光标移动方向,高电平右移,低电平左移S:屏幕上所有文字是否左移或者右移。高电平表示有效,低电平则无效 指令4:显示开关控制。D:控制整体显示的开与关,高电平表示开显示,低电平表示关显示C:控制光标的开与关,高电平表示有光标,低电平表示无光标B:控制光标是否闪烁,高电平闪烁,低电平不闪烁指令5:光标或显示移位S/C:高电平时移动显示的文字,低电平时移动光标 指令6:功能设置命令DL:高电平时为4位总线,低电平时为8位总线N:低电平时为单行显示,高电平时双行显示F: 低电平时显示5x7的点阵字符,高电平时显示5x10的点阵字符 指令7:字符发生器RAM地址设置 指令8:DDRAM地址设置 指令9:读忙信号和光标地址BF:为忙标志位,高电平表示忙,此时模块不能接收命令或者数据,如果为低电平表示不忙。 指令10:写数据 指令11:读数据 液晶显示模块是一个慢显示器件,所以在执行每条指令之前一定要确认模块的忙标志为低电平,表示不忙,否则此指令失效。要显示字符时要先输入显示字符地址,也就是告诉模块在哪里显示字符,表3是DM-16

相关文档