文档库 最新最全的文档下载
当前位置:文档库 › 实验七_移位寄存器及其应用

实验七_移位寄存器及其应用

实验七_移位寄存器及其应用
实验七_移位寄存器及其应用

实验七 移位寄存器及其应用

一、实验目的

1. 熟悉移位寄存器的工作原理和特点;

2. 熟悉74LS194双向移位寄存器的使用方法,并验证其基本功能;

3. 掌握双向移位寄存器的基本应用。 二、预习要求

1. 复习有关寄存器和移位寄存器的章节;

2. 按实验内容的要求,做好实验预习报告,画好实验线路图和记录表格。 三、实验设备与器件

1. TDN-DS 数字逻辑电路/数字系统设计教学实验系统。

2. 双向移位寄存器,型号为74LS194;

3. 数字万用表,连接导线若干。 四、实验的原理 1) 移位寄存器的定义

具有移位逻辑功能的寄存器称为移位寄存器。移位功能是每位触发器的输出与下一级触发器的输入相连而成。

2) 双向移位寄存器的逻辑功能

移位寄存器在应用中需要左移、右移保持、并行输入输出或串行输入输出等多种功能。具有上述多种功能的移位寄存器称为多功能的双向移位寄存器。如中规模集成电路74LS194就是具有左、右移位、清零、数据并入/并出或串出等多种功能的移位寄存器。它的管脚排列见图7-1,逻辑功能表见表7-1。

M 0M 1CP Q 3Q 2Q 1Q 0V CC GND

D SL

D 3

D 2

D 1

D 0

D SR

CR

74LS194

123456789

10

11

12

13

14

15

16

图7-1 74LS194外引脚排列图

由表7-1可见,74LS194具有如下功能:

(1) 清零。当CR =0时,不管其它输入为何态,输出为全0状态;

(2) 保持。CP =0,CR =1时,其它输入为任意状态,输出状态保持;或者CR =1,M 1、M 2均为0,其它输入为任意状态,输出状态也为保持;

(3)置数。=1,M1= M0=1,在CP脉冲上升沿时,将输入端的数据D0、D1、D2、D3置入Q0、Q1、Q2、Q3中并寄存;

(4)右移。CR=1,M1=0,M0=1,在CP脉冲上升沿时,实现右移操作,此时输入数据D SR向右移位;

(5)左移。=1,M1=1,M0=0,在CP脉冲上升沿时,实现左移操作,此时输入数据D SL向左移位;

表7-1 74LS194逻辑功能表

3) 移位寄存器的应用

移位寄存器可以起到多方面的作用,可以存贮或延迟输入、输出信息,也可以用来把串行的二进制数转换为并行的二进制数(串并转换)或相反(并串转换)。在计算机电路中,还可以应用移位寄存器来实现二进制的乘2和除2功能。

在具体独立应用方面,移位寄存器不单可做成可编程的分频器、串行加法器、串行累加器和序列号发生器(见书上P229),而且还可以用来构成计数器,这是工程中经常用到的。以74LS194双向移位寄存器为例,74LS194可构成环形计数器、扭环形计数器和自启动的扭环形计数器。

五、实验的步骤

㈠集成移位寄存器基本功能验证。

将74LS194插入实验箱中,并按图7-2进行接线。接线完毕后,接通电源,即可进行74LS194双向移位寄存器的功能验证。

①清零。将复位开关K3置0,使CR=0,通过观察LED灯的亮、灭情况,记录有关实验数据。CR=0时,74LS194输出为:Q0Q1Q2Q3= 。

②保持。使CR=1,CP=0,拨动逻辑开关K1和K2,输出状态不变。或者使CR=1,M1和M0都为0(即K1和K2都为0),按动单次脉冲,这时输出状态仍不变。

③置数。使CR=1,M1=M0=1,数据开关置为0101,按动单次脉冲,这时数据0101存入Q0Q1Q2Q3中。根据LED发光二极管的状态,记录Q0Q1Q2Q3=;变换数据开关的输出为1011,再按

动单次脉冲,根据LED 发光二极管的状态,记录Q 0Q 1Q 2Q 3= 。

k 3

k 2k 1k 0

1

1

数据开关

K 1K 2K 3

单次脉冲

5V

16

15

14

13

12

11

10

9

8

7

65

432

1

74LS194

LED 清零

V CC Q 0Q 1Q 2Q 3CP M 1M 0

GND

D SL D 3

D 2

D 1

D 0

D SR

CR

图7-2 74LS194双向移位寄存器实验接线图

右移。把Q 3接到D SR ,按③中的方法先置入数据0001(这时=1,M 1=M 0=1,D 0D 1D 2D 3=0001),再置M 1=0,M 0=1,为右移方式,输入单次脉冲,移位寄存器在CP 上升沿时实现右移操作。记录移位的情况:

表7-2 预置数据0001时的右移实验数据记录

⑤ 左移。将Q 3接到D SR

的线断开,而把Q 0连到左移输入D SL 端,其余方法同上述右移,即按③中的方法先置入数据0001(这时CR =1,M 1=M 0=1,D 0D 1D 2D 3=0001),再置M 1=1,M 0=0,为左移方式,输入单次脉冲,移位寄存器在CP 上升沿时实现左移操作。记录移位的情况:

㈡ 集成移位寄存器的应用。

按图7-3接线,Q A 、Q B 、Q C 和Q D 分别接4只LED 发光二极管,D SR 与Q 3相连,D 0~D 3接数据开关,M 1、M 0和CR 分别接逻辑开关,CP 接单次脉冲,16脚接+5V ,8脚接地。令CR =1,M 1=M 0=1,D 0D 1D 2D 3=1000,记录此时的Q A Q B Q C Q D = ,

再置M 1=0,M 0=1

,为右移方式,输入单次脉冲,移位寄存器在CP 上升沿时实现右移操作。记录移位的情况:

表7-2 预置数据1000时的右移实验数据记录

Q A

Q B

Q C

Q D

图7-3 74LS194双向移位寄存器构成环形计数器的接线图

实验结果分析和结论:

六、思考题

1) 举例说明双向移位寄存器的应用。

2) 根据图7-4,从清零开始,画出图中计数器的状态图,并分析图中与非门在74LS194形成自启动扭环模13计数器中的作用。

图7-4 74LS194构成自启动扭环形计数器

七、实验报告要求

1.实验目的;

2.实验设备与采用的元器件;

3.实验的实际电路接线图与相关的实验数据;

4.实验的结论;

5. 思考题解答。

集成计数器及寄存器的运用 实验报告

电子通信与软件工程 系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级: 姓名: 学号: 成绩: 同组成员: 姓名: 学号: --------------------------------------------------------------------------------------------------------------------- 一、 实验名称:集成计数器及寄存器的运用 二、实验目的: 1、熟悉集成计数器逻辑功能与各控制端作用。 2、掌握计数器使用方法。 三、 实验内容及步骤: 1、集成计数器74LS90功能测试。74LS90就是二一五一十进制异步计数器。逻辑简图为图8、1所示。 四、 五、 图8、1 六、 74LS90具有下述功能: ·直接置0(1)0(2)0(.1)R R ,直接置9(S9(1,·S,.:,=1) ·二进制计数(CP 、输入QA 输出) ·五进制计数(CP 2输入Q D Q C Q B 箱出) ·十进制计数(两种接法如图8.2A 、B 所示) ·按芯片引脚图分别测试上述功能,并填入表 8、1、表8、2、表8、3中。

图8、2 十进制计数器 2、计数器级连 分别用2片74LS90计数器级连成二一五混合进制、十进制计数器。 3、任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法)。可用74LS90组成任意模(M)计数器。图8、3就是用74LS90实现模7计数器的两种方案,图(A)采用复位法。即计数计到M异步清0。图(B)采用置位法,即计数计到M一1异步置0。 图8、3 74LS90 实现七进进制计数方法 (1)按图8、3接线,进行验证。 (2)设计一个九进制计数器并接线验证。 (3)记录上述实验的同步波形图。 四、实验结果:

实验7 计数器

CHANGZHOU INSTITUTE OF TECHNOLOGY 题目7:计数器 二级学院(直属学部):延陵学院 专业:电气工程及其自动化班级:10电Y3 学生姓名:学号:

1、学习80C51单片机计数器的使用和编程 2、熟悉计数器中断处理程序的编程 二、实验电路

四、实验操作和调试 通过引脚T0从外部输入3个计数脉冲后触发中断,在T0的计数中断服务程序中,接在P0口的绿色LED闪烁3次,返回主程序。 引脚T1从外部输入6个计数脉冲后触发中断,在T1的计数中断服务程序中,接在P2口的红色LED闪烁6次,返回主程序。 T0和T1总的中断次数在P1口的7段BCD数码管中显示。 五、实验程序 #include #include #define TRUE 1 #define uchar unsigned char uchar time0IntCounter=0; //定时器0溢出计数 uchar time1IntCounter=0; //定时器1溢出计数 uchar totalIntTimes=0; //总中断次数 void time(unsigned int ucMs); //延时单位:ms

void main(void) { TMOD=0x066; //设置外部中断0和1为外部脉冲输入计数器,设工作方式1,16位计数器 TH0=0xFF;TL0=0xFD; //设置计数器0的初值FFFDH,3个计数脉冲产生中断 TH1=0xFF;TL1=0xFA; //设置计数器1的初值FFFAH,6个计数脉冲产生中断 TR0=1; //开启定时器0 TR1=1; //开启定时器1 IE=0x8a; //开启定时器0和1中断 P1=totalIntTimes; //总中断次数送P1 while(1){} //等待定时器0和1中断 } /************定时器0中断服务程序*****/ void timer0(void) interrupt 1 { unsigned char counter; //循环次数计数 EA=0; //关总中断 TR0=0; //停止计时 totalIntTimes++; //总中断次数加1 P1= totalIntTimes; //总中断次数送P1 for(counter=0;counter<255;counter++) { P0=0; //点亮P0口LED1 time(300); //延时300ms P0=0xff; //熄灭P0口LED1 time(300); //延时300ms } TH0=0xFF;TL0=0xFD; //设置计数器0的初值FFFDH,3个计数脉冲产生中断 TR0=1; //开启定时器0 EA=1; //开总中断 } /************定时器1中断服务程序*****/ void timer1(void) interrupt 3 { unsigned char counter; //循环次数计数 EA=0; //关总中断 TR1=0; //停止计时 totalIntTimes++; //总中断次数加1 P1=totalIntTimes; //总中断次数送P1

数字电路实验6移位寄存器的应用

实验报告 课程名称:数字电路实验第 6 次实验实验名称:移位寄存器的应用 实验时间:2012 年 5 月7 日 实验地点:组号 学号: 姓名: 指导教师:评定成绩:

《数字电路与系统设计》实验指导书 1 实验六移位寄存器应用 一、实验目的: 1.了解寄存器的基本结构。 2.掌握74LS194移位寄存器的逻辑功能。 3.学习中规模移位寄存器的应用。 二、实验仪器: 三、实验原理: 数据的存储和移动是数字信号的一种常见运作,能实现这种动作的是数据寄存器和移位寄存器,它们同计数器一样也是数字电路中不可缺少的基本逻辑器件。数据寄存器有两类结构,一类是由多个钟控D锁存器组成的,另一类是由多个钟控D触发器组成的。数据寄存器的数据的输入和输出都是并行的。移位寄存器的结构也是由多个触发器级联的,其数据不仅可以存储,还可以左移或右移。移位寄存器的数据的输入和输出都有串行和并行之分,数据的动作受公共时钟信号的控制,也就是同步工作的。 4位双向移位寄存器74LS194A为TTL双极型数字集成逻辑电路,外形为双列直插,它具有清除、左移、右移、并行送数和保持等多种功能,是一种功能比较全的中规模移位寄存器,图6-1是引脚排列图,逻辑符号如图6-2所示,74LS194A的功能表见表6-1。

《数字电路与系统设计》实验指导书 2 移位寄存器的最直接应用是数据的串/并转换,图6-3和图6-4就是简单的实例。在图6-3中M1M0=01,表示数据可以右移,首先清零端输入一个负脉冲,使Q1Q2Q3Q4=0,在单脉冲CP的作用下,右移输入端D R依次串入数据,4个CP后就可在4个输出端Q1Q2Q3Q4得到并行数据。在图6-4中首先M1M0=11,在单脉冲CP的作用下,4位数据并行输入到移存器,然后使M1M0=10,表示数据可以左移,左移输入端D L=1时,在单脉冲CP的作用下,数据依次从Q1端输出,空缺位被1(D L)填补。4个CP 后,原4位并入的数据全被移出,这时候Q1Q2Q3Q4=1111。

实验六计数器及其应用

实验六计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成1/N分频器 二、实验原理 1、用D触发器构成异步二进制加/减计数器 图1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T'触发器,再由低位触发器的Q端和高一位的CP端相连接。 图1 四位二进制异步加法计数器 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图2所示。 图2 CC40192引脚排列及逻辑符号 图中LD—置数端 CP U —加计数端 CP D —减计数端

CO—非同步进位输出端BO—非同步借位输出端 D 0、D 1 、D 2 、D 3 —计数器输入端 Q 0、Q 1 、Q 2 、Q 3 —数据输出端 CR—清除端 CC40192(同74LS192,二者可互换使用)的功能如表9-1,说明如下: 表9-1 3、计数器的级联使用 图3是由CC40192利用进位输出CO控制高一位的CP U 端构成的加数级联图。 图3 CC40192级联电路 4、实现任意进制计数 (1) 用复位法获得任意进制计数器 假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。如图4所示为一个由CC40192 十进制计数器接成的6进制计数器。 (2) 利用预置功能获M进制计数器 图4 六进制计数器

三、实验设备与器件 1、+5V直流电源 2、双踪示波器 3、连续脉冲源 4、单次脉冲源 5、逻辑电平开关 6、逻辑电平显示器 7、译码显示器 8、 CC4013×2(74LS74)、CC40192×3(74LS192)、CC4011(74LS00) CC4012(74LS20) 四、实验内容 1、用CC4013或74LS74 D触发器构成4位二进制异步加法计数器。 (1) 按图9-1接线,R D 接至逻辑开关输出插口,将低位CP 端接单次脉冲源, 输出端Q 3、Q 2 、Q 3 、Q 接逻辑电平显示输入插口,各S D接高电平“1”。 (2) 清零后,逐个送入单次脉冲,观察并列表记录 Q 3~Q 状态。 (3) 将单次脉冲改为1HZ的连续脉冲,观察Q 3~Q 的状态。 (4) 将1Hz的连续脉冲改为1KHz,用双踪示波器观察CP、Q 3、Q 2 、Q 1 、Q 端波 形,描绘之。 5) 将图9-1电路中的低位触发器的Q端与高一位的CP端相连接,构成减法计 数器,按实验内容2),3),4)进行实验,观察并列表记录Q 3~Q 的状态。 2、测试CC40192或74LS192同步十进制可逆计数器的逻辑功能 (1) 清除:CR=1 (2) 置数:CR=0,数据输入端输入任意一组二进制数,令LD= 0,观察计数译码显示输出。 (3) 加计数:CR=0,LD=CP D =1,CP U 接单次脉冲源。 (4) 减计数:CR=0,LD=CP U =1,CP D 接单次脉冲源。 3、图9-3所示,用两片CC40192组成两位十进制加法计数器,输入1Hz连续计数脉冲,进行由00—99累加计数,记录之。 4、按图4电路进行实验,记录之。

8位移位寄存器的电路设计与版图实现

8位移位寄存器的电路设计与版图实现 摘要 电子设计自动化,缩写为EDA,主要是以计算机为主要工具,而Tanner EDA则是一种在计算机windows平台上完成集成电路设计的一种软件,基本包括S-Edit,T-Spice,W-Edit,L-Edit与LVS等子软件,其S-Edit以及L-Edit为常用软件,前者主要实现电路设计,后者主要针对的是已知电路的版图绘制,而T-Spice主要可实现电路图及版图的仿真,可以用Tanner EDA实现电路的设计布局以及版图实现等一系列完整过程。本文用Tanner EDA工具主要设计的是8位移位寄存器,移位寄存器主要是用来实现数据的并行和串行之间的转换以及对数据进行运算或专业处理的工具,主要结构构成是触发器,触发器是具有储存功能的,可以用来储存多进制代码,一般N 位寄存器就是由N个触发器构成,移位寄存器工作原理主要是数据在其脉冲的作用下实现左移或者右移的效果,输入输出的方式表现为串行及并行自由组合,本设计就是在Tanner EDA的软件平台上进行对8位移位寄存器的电路设计仿真,再根据电路图在专门的L-Edit 平台上完成此电路的版图实现,直至完成的结果和预期结果保持一致。 关键词:Tanner EDA;L-Edit;移位寄存器,S-Edit

8 bits shift register circuit design and layout Abstract Electronic design automation,referred to as EDA,it is based on computers as the main tool,and Tanner EDA is a kind of software that complete the integrated circuit design on Windows platforms.Its Sub-Softwares include S-Edit,T-Spice,W-Edit,L-Edit and LVS and so on.S-Edit and L-Edit are commonly used software,S-Edit is primarily designed to achieve circuit,the latter is aimed primarily known circuit layout drawing,T-Spice can achieve schematic and layout simulation.We can achieve layout of the circuit design and a series of complete process layout used Tanner EDA tools.In this paper, Tanner EDA tools are mainly designed an 8-bit shift register.The shift register is mainly used for data conversion between parallel and serial, and the data processing tool operation or professional,its main structure is the trigger composition,flip-flop is a storage function,it can be used to store more hexadecimal code,In general N-bits register is composed of N trigger.Working principle of the shift register data under the action of the pulse, mainly the effect of the shift to the left or right,input and output of the way of serial and parallel free combination.This design is in Tanner on the EDA software platform to 8 bits shift register circuit design and simulation,then according to the circuit diagram on special L - Edit platform to complete the circuit layout implementation,until the finish is consistent with the results and expected results. Keywords:Tanner EDA;L-Edit;Shift register,S-Edit

移位寄存器及其应用

移位寄存器及其应用 一、实验目的 1、掌握移位寄存器CC40194的逻辑功能与使用方法, 2、了解移位寄存器的使用—实现数据的串行,并行转换和构成环形计数器; 3、进一步掌握用示波器观察多个波形时序关系的方法。 二、实验仪器及材料 1. 数电实验箱、 双踪示波器、 数字万用表。 2. 元件:CC40194两片、 74HC125两片, 74LS20一片。 三、实验原理 1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下 依次左移或右移。既能左移又能右移的称为双向移位寄存器,只需要改变左右移的控制信号便可实现双向移位要求。根据寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。本实验选用的4位双向通用移位寄存器,型号为74LS194或CC40194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图所示: 2、移位寄存器应用很广,可构成移位寄存器型计数器、顺序脉冲发生器和串行累加器;可用作数 据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。 (1)环形计数器 把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如下图所示

(2)实现数据串、并转换 1、串行/并行转换器串行/并行转换是指串行输入的数据,经过转换电路之后变成并行输出。下面是用两片74LS194构成的七位串行/并行转换电路。 2、并行/串行转换是指并行输入的数据,经过转换电路之后变成串行输出。对于中规模的集成移位寄存器,其位数往往以4 位居多,当所需要的位数多于4位时,可以把几片集成移位寄存器用级连的方法来扩展位数。 四、实验内容 1、按照以下实验电路图测试移位寄存器CC40194的逻辑功能。Q0~Q3接LED 显示,CP 接手动单次脉冲或1Hz 正方波,M1、M0接数据开关 实验得到的逻辑功能表为 2、参照上图组装移位寄存器。Q0~Q3接LED 显示,选单次手动脉冲或1Hz 正方波作为CP 输入,观察数据的循环过程。将CP 改为1kHz 的正方波,用示波器观察并记录CP 、Q0~Q3的波形。 电路图如下 输入为1kHz 及输出端Q 0、Q 1 Q 2 Q 3波形的波形图: (3)设计下图所示的串行移位器,然后组装、测试电路的逻辑功能,三态门74HC125的输

实验七 计数器及其应用学生版

实验七计数器及其应用 一、实验目的 1.学习用集成触发器构成计数器的方法 2.掌握中规模集成计数器的使用方法及功能测试方法 3.运用集成计数器构成1∕N分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数器的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数电路。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、用D触发器构成异步二进制加∕减计数器 图7-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T′触发器,再由低位触发器的Q端和高一位的CP端相连接。 图7-1 若将图7-1稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器 三、实验内容 1.用74LS74触发器构成4位二进制一步加法计数器。 (1)按图7-1连接,R D接至逻辑开关输出插口,将低位CP O端接单次脉冲源,输出端Q3、Q2、Q1、Q0接逻辑电平显示输入插口。 (2)清零后,逐个送入单次脉冲,观察并列表记录Q3~Q0状态。 (3)将图7-1电路中的底位触发器的Q端与高一位的CP端相连接,构成减法计数器,按实验内容(2)、(3)进行实验,构成并列表记录Q3~Q0的状态。

数电实验报告 计数器

实验报告 实验七计数器原理测试及其设计 2.7.1 实验目的 1.掌握中规模集成计数器74LS160、74LS161、74LS163的逻辑功能及使用方法。 2.掌握同步清零与异步清零的区别及74LS160计数器的级联方法。 3.学习用中规模集成计数器设计任意进制计数器。 2.7.2 实验仪器设备与主要器件 实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 74LS160,74LS161和74LS163。 2.7.3 实验原理 计数器的功能是记录输入脉冲的个数。他所能记忆的最大脉冲个数称为该计数器的模。计数器不仅能统计输入脉冲的个数,还可以用作分频、定时、产生节拍脉冲等。根据进位方式,可分为同步和异步两类。根据进制,可分为二进制、十进制和任意进制等。根据逻辑功能,可分为加法计数器、减法计数器和可逆计数器等。根据电路集成度,可分为小规模集成计数器和中规模集成计数器。 2.7.4 实验内容 1.分别用74LS161和74LS163设计模13计数器,采用清零法实现,并用数码管显示实验结果。 设计思路:74LS161是十六进制计数器,所以我在它计数到13(1101)清零就行了,再利用二进制数与BCD码对应关系,即利用74LS283的逻辑功能使数码管显示实验结果。计数时电路状态转换关系: 0000→0001→0010→0011→0100→0101→0110→0111→1000→1001→1010→1011→1100→0000

设计思路:74LS163接法与74LS161基本一样,只是163的清零信号是12不是13,如图: 2.设计一个用3位数码管指示的六十进制计数器,并用三只开关控制计数器的数据保持、计数及清零功能。 设计思路:用Cr=0控制计数器清零,用EP*ET=0控制计数器数据保持,用高低电平和CP脉冲进行与运算控制计数器计数功能。U1的清零信号是在计数到6时,U1清零的同时U3开始计数,这样就能实现用3位数码管指示的六十进制计数器。如图:

EDA课程设计——移位寄存器的设计与实现

河南科技大学 课程设计说明书 课程名称 EDA技术与应用 题目移位寄存器的设计与实现 学院 班级 学生姓名 指导教师 日期

EDA技术课程设计任务书 班级:姓名:学号: 设计题目:移位寄存器的设计与实现 一、设计目的 进一步巩固理论知识,培养所学理论知识在实际中的应用能力;掌握EDA设计的一般方法;熟悉一种EDA软件,掌握一般EDA系统的调试方法;利用EDA软件设计一个电子技术综合问题,培养VHDL编程、书写技术报告的能力。为以后进行工程实际问题的研究打下设计基础。 二、设计任务 根据计算机组成原理中移位寄存器的相关知识,利用VHDL语言设计了三种不同的寄存器:双向移位寄存器、串入串出(SISO)移位寄存器、串入并出(SIPO)移位寄存器。 三、设计要求 (1)通过对相应文献的收集、分析以及总结,给出相应课题的背景、意义及现状研究分析。 (2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。 (3)学习按要求编写课程设计报告书,能正确阐述设计和实验结果。 (4)学生应抱着严谨认真的态度积极投入到课程设计过程中,认真查阅相应文献以及实现,给出个人分析、设计以及实现。 四、设计时间安排 查找相关资料(1天)、设计并绘制系统原理图(2天)、编写VHDL程序(2天)、调试(2天)、编写设计报告(2天)和答辩(1天)。 五、主要参考文献 [1] 江国强编著. EDA技术与实用(第三版). 北京:电子工业出版社,2011. [2] 曹昕燕,周凤臣.EDA技术实验与课程设计.北京:清华大学出版社,2006.5 [3] 阎石主编.数字电子技术基础.北京:高等教育出版社,2003. [4] Mark Zwolinski. Digital System Design with VHDL.北京:电子工业出版社,2008 [5] Alan B. Marcovitz Introduction to logic Design.北京:电子工业出版社,2003 指导教师签字:年月日

实验六移位寄存器的设计

实验六移位寄存器的设计 一、实验目的 1、掌握中规模4位双向移位寄存器逻辑功能及使用方法。 2、熟悉移位寄存器的应用—实现数据的串行、并行转换和构成环形计数器。 二、实验预习要求 1、复习有关寄存器及串行、并行转换器有关内容。 2、查阅CC40194、CC4011及CC4068 逻辑线路。熟悉其逻辑功能及引脚排列。 3、在对CC40194进行送数后,若要使输出端改成另外的数码,是否一定要使寄存器清零? 4、使寄存器清零,除采用R C输入低电平外,可否采用右移或左移的方法?可否使用并行送数法?若可行,如何进行操作? 5、若进行循环左移,图6-4接线应如何改接? 6、画出用两片CC40194构成的七位左移串 /并行转换器线路。 7、画出用两片CC40194构成的七位左移并 /串行转换器线路。 三、实验设备及器件 1、+5V直流电源 2、单次脉冲源 3、逻辑电平开关 4、逻辑电平显示器 5、CC40194×2(74LS194)CC4011(74LS00) CC4068(74LS30) 四、设计方法与参考资料 1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。 本实验选用的4位双向通用移位寄存器,型号为CC40194或74LS194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图6-1所示。 其中D0、D1、D2、D3为并行输入端;Q0、Q1、Q2、Q3为并行输出端;S R为右移串行输 C为直接无条件清零端; 入端,S L为左移串行输入端;S1、S0为操作模式控制端;R

实验十一 同步计数器的逻辑功能测试及应用

实验十一计数器74LS161的逻辑功能测试及应用 一、实验目的 1、熟悉集成计数器触的逻辑功能和各控制端作用。 2、掌握集成计数器逻辑功能测试方法。 3、掌握计数器使用方法。 二、实验设备与器件 1、实验设备:DLBS系列数字逻辑实验箱1个,MF47型万用表1台。 2、实验器件:74LS161集成同步计数器×2片,四二输入与非门74LS00×1块。 三、实训器件说明 1、 74LS161集成同步计数器 74LS161是一种同步四位二进制同步加法计数器,计数范围是0~15,具有异步清零、同步置数、保持和二进制加法计数等逻辑功能。图11.1所示为74LS161的管脚图和逻 辑功能示意图。图中CR端是异步清零控制端,当CR=0时,输出Q3Q2Q1Qo全为零,实现异步清除功能。LD是同步置数控制端,当CR=1,LD=0,且CP=CP↑时,输出 Q3Q2Q1Qo=D3D2D1Do,实现同步预置数功能。CTP和CTT是计数控制端,CP是上升沿有效的时钟脉冲输入端,D0~D3是并行数据输入端,Q0~Q3是计数输出端,CO是进位输出端,且进位输出信号CO=CTt=Q3Q2Q1Qo ,它可以用来实现电路的级联扩展。 74LS161的逻辑功能如表6.9所示。表中各控制输入端按优先级从高到低的次序排列, 依次为CR、LD、CTp和CTt,其中CR优先级最高。计数输出Q3为最高位,Qo为最低 位。

由表6.9可知,74LS161具有以下逻辑功能: (1)异步清零。当CR=0时,计数器清零,与CP脉冲无关,所以称为异步清零。(2)同步置数。当CR=1,LD=0 ,CP脉冲上升沿到来时,并行输入数据D3—Do被 置入计数器,计数器输出为D3D2D1Do 。由于置数发生在脉冲CP上升沿时段,故称为同步置数。 (3)保持功能。当CR=LD=1,且CTp?CTt=0时,输出Q3Q2Q1Qo=Q3Q2Q1Qo。保持不变。 (4)计数功能。当CR=LD=CTp=CTt=1时,且CP=CP↑时,计数器处于计数状态才开 始加法计数,实现计数功能。随着CP脉冲上升沿的到来,计数器对CP脉冲进行二进制加法计数,每来一个CP脉冲,计数值加“1”。当计数值达到15 时,进位输出CO为“1”。 2、由74LS161同步计数器构成任意(N)进制计数器方法 (1)直接清零法 直接清零法是利用芯片的复位端CR和与非门,将N所对应的输出二进制代码中等于“1”的输出端,通过与非门反馈到集成芯片的复位端CR,使输出回零。 例如,用74LS161芯片构成十进制计数器电路如图11.2所示。 (2)预置数法 预置数法是利用芯片的预置数端LD和预置输入端D3D2D1Do,因74LS161芯片的LD是同步预置数端,所以只能采用N-1值反馈法,其计数过程中不会出现过渡状态。例如图10.3所示的七进制计数器电路。

实验十七、移位寄存器74164的逻辑功能测 试

实验十七、移位寄存器74164的逻辑功能测 试 一、实验目的 1、掌握中规模8位移位寄存器逻辑功能。 2、认识74LS164及其引脚封装。 二、实验预习要求 1、复习有关寄存器的内容。 2、查阅74LS164及逻辑电路,熟悉其逻辑功能及引脚排 列。 三、实验设备 1、+5V直流电源 2、单次脉冲源 3、逻辑电平开关 4、DM74LS164 四、实验原理 1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中锁存的代码能够在移位脉冲的作用下一次左移和右移。既能左移又能右移称为双向移位寄存器,只需要改变左、右移的控制信号可实现双向移位要求。根据移位寄存器取存信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。 本实验选用的8位移位寄存器,型号可为74LS164,其逻辑符号及引脚排列如图所示。 其中A、B为串行输入端;

CLR为异步清零端; QH—QA为输入端; CLK为移位脉冲输入端; 74164是一种串行输入、并行输出的器件,时钟高电平有效,没有时钟使能端,该器件用低电平复位 图1 74LS164的逻辑符号及引脚功能表其中QAO、QBO、QHO为在暂稳态输入条件建立之前QA、QB和QH相应的电平;QAN、QGN为在最近的时钟上升沿转换前QA或QG的电平,表示移一位。 移位寄存器应用很广,可构成移位寄存器型计数器;属虚脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换位并行数据,或把并行数据转换位串行数据等。 五、实验内容 1、测试74LS164的逻辑功能 按图所示接线,A、B、CLK分别接至逻辑电平显示输入端。QA—QH分别接至逻辑电平显示输出端。14脚接+5V电源、7脚接地。

实验7 74ls160组成n进制计数器

实验7 74ls160组成n进制计数器 一、实验内容 1.掌握集成计数器的功能测试及应用 2.用异步清零端设计6进制计数器,显示选用数码管完成。 3.用同步置0设计7进制计数器,显示选用数码管完成。 二、演示电路 74LS160十进制计数器连线图如图1所示。 图1 74LS160十进制计数器连线图 74161的功能表 如表1所示。由表1可知,74161具有以 下功能: ①异步清 零 当CR(C L R’)=0时,不管其他输入端的状态如何(包括时钟信号C P),计数器输出将被直接置 零,称为异步清零。

②同步并 行预置数 在CR=1的条件下,当 LD(L O A D’)=0、且有时 钟脉冲C P的上升沿 作用时,D0、D1、D2、D3输入端的数据将 分别被Q0~Q3所接 收。由于这个置数操 作要与C P上升沿同步,且D0、D1、D2、 D3的数据同时置入计 数器,所以称为同步 并行置数。 ③保持 在CR=LD=1的条件 下,当E N T=E N P=0,即 两个计数使能端中有 0时,不管有无C P脉 冲作用,计数器都将 保持原有状态不变 (停止计数)。需要说 明的是,当E N P=0, E N T=1时,进位输出C 也保持不变;而当 E N T=0时,不管E N P 状态如何,进位输出 R C O=0。

④计数 当 CR=LD=E N P=E N T=1时, 74161处于计数状态, 电路从0000状态开 始,连续输入16个计 数脉冲后,电路将从 1111状态返回到0000 状态,R C O端从高电 平跳变至低电平。可 以利用R C O端输出的 高电平或下降沿作为 进位输出信号。 连上十进制加法计数器160,电路如图1所示,给2管脚加矩形波,看数码管显示结果,并记录显示结果。 三、用160和与非门组成6进制加法计数器-用异步清零端设计 74160从0000状 态开始计数,当输入 第6个C P脉冲(上

实验七 计数器

实验七计数器 一.实验目的 1.掌握中规模集成计数器74LS160,161的逻辑功能及使用方法。 2.掌握74LS160计数器的级联方法。 3.学习用中规模集成计数器实现任意进制计数器。 二.实验器材 74LS161 74LS00 74LS160 三.实验原理 1.十进制中规模集成计数器 74LS160为十进制同步计数器,具有计数,预置,保持和清“0”功能。 74LS160如图示: 74LS160的功能见表7.1所示。 (QDQCQBQA)0000→0001→0010→······→1000→1001→0000. 利用74LS160可实现十进制以下进制的计数器,且具有自启动功能,常用的方法有清“0”法和置数法。现以七进制(N=7)为例简介如下: (1)异步清“0”法 清零法适用于设置清零功能的计数器,利用此方法可以实现单片计数器范围内任意N进制计数器。 (2)同步置数法 置数法也称同步置数法,它适用于设置有同步置数功能的计数器电路。利用此方法同样可以实现单片计数器范围内的任意N进制计数器。 单片计数器的计数范围总是有限的。当计数模值超过计数范围时,可用计时器的级联来实现。下图是采用串行进位级联方法设计的百进制计数器。

一片74LS160可实现N≦10的任意进制计数器;两片级联可实现N≦100的任意进制计数器;三片,则N≦1000……. 2.同步十六进制计数器 74LS161为同步十六进制计数器,其外引线图同74LS160,功能表如下。进位输出信号C=QDSQCQBQAET,计数时电路状态(QDQCQBQA)的转换关系为0000→0001→0010→0011→……→1111→0000. 74LS161功能表 1.用74LS161设计模13计数器,并用数码管显示试验结果。 2.设计用3位数码管指示的60进制计数器,并用3之开关控制计数器数据保持,计数 及清0功能。

(整理)实验-寄存器.

实验十一移位寄存器及其应用 一、实验目的: 1、熟悉中规模4位双向移位寄存器的逻辑功能并掌握其使用方法; 2、熟悉移位寄存器的应用典例一——构成串行累加器和环形计数器。 二、实验原理: 1、移位寄存器是一种具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的移位寄存器称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位。根据存取信息的方式不同移位寄存器可分为:串入串出、串入并出、并入串出、并入并出四种形式。 本实验选用的4位双向通用移位寄存器,型号为74LS194或CC40194,两者功能相同, S L为左移串行输入端;S1、S0为操作模式控制端;CR为异步清零端;CP为时钟脉冲输入端。 74LS194有5种不同操作模式:并行送数寄存,右移(方向由Q3至Q0),左移(方向由Q0至Q3),保持及清零。S1、S0和CR 端的控制作用如表11-1所示。表11-1

2、移位寄存器的应用很广,可构成移位寄存器型计数器;顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。本实验主要研究移位寄存器用作环形计数器和串行累加器的线路连接及其原理。 (1)环形计数器 把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如图11-2所示,把输出端Q3和右移串行输入端S R相连接,设初始状态Q3Q2Q1Q0=1000,则在时钟脉冲的作用下Q3Q2Q1Q0将依次变为0100、0010、0001、1000-----,可见它是一个具有四个有效状态的计数器,这种类型的计数器通常称为环形计数器。图11-2电路可以由各个输出端输出在时间上有先后顺序的脉冲,因此也可作为顺序脉冲发生器。 (2)串行累加器 累加器是由移位寄存器和全加器组成的一种求和电路,它的功能是将本身寄存的数和另一个输入的数相加,并存放在累加器中。 图11-2 图11-3是由两个右向移位寄存器、一个全加器和一个进位触发器组成的串行累加器。 设开始时,被加数A=A N-1.....A O和加数B=B N-1......B O已分别存入N+1位累加数移位寄存器和加数移位寄存器。再设进位触发器D已被清零。 在第一个CP脉冲到来之前,全加器各输入、输出端的情况为:A N=A0,B N=B0,C N-1=0,S N=A0+B0+0=S0,C N=C0。 当第一个CP脉冲到来后,S0存入累加和移位寄存器的最高位,C0存入进位触发器D端,且两个移位寄存器中的内容都向右移动一位。全加器输出为S N=A1+B1+C0=S1,C N=C1。

实验四 计数器及其应用

实验四计数器及其应用 一、实验目的 l、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成l位分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 l、用D触发器构成异步二进制加/减计数器 图4-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D 触发器接成T’触发器,再由低位触发器的Q端和高—位的CP端相连接。 图4-1 四位二进制异步加法计数器 若将图4-l稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,具引脚排列及逻辑符号如图4-2所示。

图4-2 CC40192引脚排列及逻辑符号 图中LD一置数端CP L一加计数端CP D一减计数端 CO一非同步进位输出端BO一非同步借位输出端 D0、D1、D2、D3一计数器输入端 Q0、Q1、Q2、Q3一数据输出端CR一清除端 CC40192(同74LS192,二者可互换使用)的功能如表4-1,说明如下:表4-1 当清除端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。 当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CP D接高 电平,计数脉冲由CP U输入;在计数脉冲上升沿进行842l码十进制加法计数。执行减计数时,加计数端CPu接高电平,计数脉冲由减计数端CP D输入,表4-2为8421码十进制加、减计数器的状态转换表。 表4-2 3、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图4-3是由CC40192利用进位输出CO控制高一位的CP U端构成的加数级联图。

实验7-集成计数器-(实验报告要求)

集成计数器 --实验报告要求 一、实验目的(0.5分) 1.熟悉中规模集成电路计数器的功能及应用。 2.掌握利用中规模集成电路计数器构成任意进制计数器的方法。 3. 掌握计数器的典型应用。 计数器对输入的时钟脉冲进行计数,来一个CP脉冲计数器状态变化一次。根据计数器计数循环长度M,称之为模M计数器(M进制计数器)。通常,计数器状态编码按二进制数的递增或递减规律来编码,对应地称之为加法计数器或减法计数器。 一个计数型触发器就是一位二进制计数器。N个计数型触发器可以构成同步或异步N 位二进制加法或减法计数器。当然,计数器状态编码並非必须按二进制数的规律编码,可以给M进制计数器任意地编排M个二进制码。 在数字集成产品中,通用的计数器是二进制和十进制计数器。按计数长度、有效时钟、控制信号、置位和复位信号的不同有不同的型号。 1.74LS161计数器 74LS161是集成TTL四位二进制加法计数器,其符号和管脚分布分别如下图1所示: 表 1为74LS161的功能表:表1 A B C D

从表1在为低电平时实现异步复位(清零需要时钟信号。在复位端高电平条件下,预置端LD 为低电平时实现同步预置功能,即需要有效时钟信号才能使输出状态 等于并行输入预置数A B C D 。在复位和预置端都为无效电平时,两计数使能端输入使能信号,74LS161实现模16加法计数功能;两计数使能端输入禁止信号, ,集成计数器实现状态保持功能, 。在时,进位输出端 OC=1。 2.组成任意进制的计数器 在数字集成电路中有许多型号的计数器产品,可以用这些数字集成电路来实现所需要的计数功能和时序逻辑功能。在设计时序逻辑电路时有两种方法,一种为反馈清零法,另一种为反馈置数法。 (1)反馈清零法 反馈清零法是利用反馈电路产生一个给集成计数器的复位信号,使计数器各输出端为零(清零)。反馈电路一般是组合逻辑电路,计数器输出部分或全部作为其输入,在计数器一定的输出状态下即时产生复位信号,使计数电路同步或异步地复位。反馈清零法的逻辑框图见图 2。 图2 反馈清零法框图 (2)反馈置数法 反馈置数法将反馈逻辑电路产生的信号送到计数电路的置位端,在滿足条件时,计数电路输出状态为给定的二进制码。反馈置数法的逻辑框图如图 3所示。 图 3 反馈清零法框图 在时序电路设计中,以上两种方法有时可以并用。 Q 0 n-10

实验七移位寄存器及其应用

实验七移位寄存器及其应用 一、实验目的 1、掌握中规模4位双向移位寄存器逻辑功能及使用方法。 2、熟悉移位寄存器的应用—实现数据的串行、并行转换和构成环形计数器。 二、实验原理 1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。 本实验选用的4位双向通用移位寄存器,型号为CC40194或74LS194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图7-1所示。 图7-1 CC40194的逻辑符号及引脚功能 其中D0、D1、D2、D3为并行输入端;Q0、Q1、Q2、Q3为并行输出端;S R为右移串 C为直接无条件清零端;行输入端,S L为左移串行输入端;S1、S0为操作模式控制端;R CP为时钟脉冲输入端。 CC40194有5种不同操作模式:即并行送数寄存,右移(方向由Q0→Q3),左移(方向由Q3→Q0),保持及清零。 S1、S0和R C端的控制作用如表7-1。

2、移位寄存器应用很广,可构成移位寄存器型计数器;顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。本实验研究移位寄存器用作环形计数器和数据的串、并行转换。 (1)环形计数器 把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位, 如图7-2所示,把输出端Q3和右移串行输入端S R 相连接,设初始状态Q0Q1Q2Q3=1000,则在时钟脉冲作用下Q0Q1Q2Q3将依次变为0100→0010→0001→1000→……,如表7-2所示,可见它是一个具有四个有效状态的计数器,这种类型的计数器通常称为环形计数器。图7-2 电路可以由各个输出端输出在时间上有先后顺序的脉冲,因此也可作为顺序脉冲发生器。 图7-2 环形计数器 如果将输出Q O与左移串行输入端S L相连接,即可达左移循环移位。 (2)实现数据串、并行转换 ①串行/并行转换器 串行/并行转换是指串行输入的数码,经转换电路之后变换成并行输出。 图7-3是用二片CC40194(74LS194)四位双向移位寄存器组成的七位串/并行数据转换电路。

相关文档
相关文档 最新文档