文档库 最新最全的文档下载
当前位置:文档库 › 广工数字逻辑实验八

广工数字逻辑实验八

广工数字逻辑实验八
广工数字逻辑实验八

__计算机__学院__软件工程__专业__班__组、学号__ 姓名_______协作者______________教师评定_________________

实验题目__第八次实验——基于Libero的数字逻辑设计仿真及验证实验__

1、熟悉SmartDesign工具的使用

2、综合实验的设计、仿真、程序烧录及验证

实验报告

一、实验目的

1、了解基于Verilog的组合逻辑电路的设计及其验证。

2、熟悉利用EDA工具(特别是SmartDesign)进行设计及仿真的流程。

3、学习利用SmartDesign对全加器进行VerilogHDL设计的方法。

4、熟悉实验箱的使用和程序下载(烧录)及测试的方法。

二、实验环境

1、Libero仿真软件。

2、DIGILOGIC-2011数字逻辑及系统实验箱。

3、Actel Proasic3 A3P030 FPGA核心板及Flash Pro4烧录器。

三、实验内容

1、跑马灯设计

设计要求:

共8个LED灯连成一排,用以下3种模式来显示,模式选择使用两个按键进行控制。

(1)模式1:先点亮奇数灯,即1、3、5、7灯亮,然后偶数灯,即2、4、6、8灯亮,依次循环,灯亮的时间按时钟信号的二分频设计。

(2)模式2:按照1、2、3、4、5、6、7、8的顺序依次点亮所有灯;然后再按1、2、3、4、5、6、7、8的顺序依次熄灭所有灯,间隔时间按时钟信号的八分频设计。

(3)模式3:按照1/8、2/7、3/6、4/5的顺序依次点亮所有灯,每次同时点亮两个灯;然后再按1/8、2/7、3/6、4/5的顺序熄灭相应灯,每次同时熄灭两个灯,灯亮的时间按时钟信号的四分频设计。

(4)模式4:自定义。

2、四位数码管扫描显示电路的设计

设计要求:

共4个数码管,连成一排,要求可以显示其中任意一个数码管。具体要求如下:(1)依次选通4个数码管,并让每个数码管显示相应的值,其结果由相应输入决定。

(2)要求能在实验箱上演示出数码管的动态显示过程。必须使得4个选通信号DIG1、DIG2、DIG3、DIG4轮流被单独选通,同时,在段信号输入口加上本人学号的后四位数据,这样随着选通信号的变化,才能实现扫描显示的目的(经验数据为扫描频率大于等于50Hz)。

四、实验结果和数据处理

1、跑马灯设计

(1)SmartDesign的连线图

(2)自定义模式部分的代码

2'b10:

begin

if(!dir)

begin

if(cnt2==0)

begin

led_r=8'b00000001;

led<=led_r;

end

else

led<=led<<1;

if(cnt2==7)

dir<=~dir;

cnt2<=cnt2+1;

end

else

begin

if(cnt2==0)

begin

led_r=8'b10000000;

led<=led_r;

end

else

led<=led>>1;

if(cnt2==7)

dir<=~dir;

cnt2<=cnt2+1;

end

end

(3)功能仿真波形图

(4)综合结果RTL图

(5)引脚分配I/O Attribute Editor截图

(6)记录实测结果

表5-9跑马灯实验记录表

2、四位数码管扫描显示电路的设计

(1)SmartDesign的连线图

(2)功能仿真波形图

(3)综合结果RTL图

(4)引脚分配I/O Attribute Editor截图

(5)数码管显示效果照片

广工数字逻辑实验八

__计算机__学院__软件工程__专业__班__组、学号__ 姓名_______协作者______________教师评定_________________ 实验题目__第八次实验——基于Libero的数字逻辑设计仿真及验证实验__ 1、熟悉SmartDesign工具的使用 2、综合实验的设计、仿真、程序烧录及验证

实验报告 一、实验目的 1、了解基于Verilog的组合逻辑电路的设计及其验证。 2、熟悉利用EDA工具(特别是SmartDesign)进行设计及仿真的流程。 3、学习利用SmartDesign对全加器进行VerilogHDL设计的方法。 4、熟悉实验箱的使用和程序下载(烧录)及测试的方法。 二、实验环境 1、Libero仿真软件。 2、DIGILOGIC-2011数字逻辑及系统实验箱。 3、Actel Proasic3 A3P030 FPGA核心板及Flash Pro4烧录器。 三、实验内容 1、跑马灯设计 设计要求: 共8个LED灯连成一排,用以下3种模式来显示,模式选择使用两个按键进行控制。 (1)模式1:先点亮奇数灯,即1、3、5、7灯亮,然后偶数灯,即2、4、6、8灯亮,依次循环,灯亮的时间按时钟信号的二分频设计。 (2)模式2:按照1、2、3、4、5、6、7、8的顺序依次点亮所有灯;然后再按1、2、3、4、5、6、7、8的顺序依次熄灭所有灯,间隔时间按时钟信号的八分频设计。 (3)模式3:按照1/8、2/7、3/6、4/5的顺序依次点亮所有灯,每次同时点亮两个灯;然后再按1/8、2/7、3/6、4/5的顺序熄灭相应灯,每次同时熄灭两个灯,灯亮的时间按时钟信号的四分频设计。 (4)模式4:自定义。 2、四位数码管扫描显示电路的设计 设计要求: 共4个数码管,连成一排,要求可以显示其中任意一个数码管。具体要求如下:(1)依次选通4个数码管,并让每个数码管显示相应的值,其结果由相应输入决定。 (2)要求能在实验箱上演示出数码管的动态显示过程。必须使得4个选通信号DIG1、DIG2、DIG3、DIG4轮流被单独选通,同时,在段信号输入口加上本人学号的后四位数据,这样随着选通信号的变化,才能实现扫描显示的目的(经验数据为扫描频率大于等于50Hz)。

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

广工EDA数字逻辑第5章

5.7 EDA开发综合实例3:SmartDesign的使用 在Libero中,除了可以编写程序实现相应设计外,还可通过可视化操作方式(“SmartDesign”软件),对现成的模块进行连线和拼装,实现特定的功能。 下例采用可视化方法实现1位全加器,再改造为2位串行进位加法器,操作过程既有通过编写代码建立模块,也有调用现成模块,还有通过IP核创建实例模块,并对多个模块进行拼装和测试。 5.7.1 使用半加器构造全加器 通过半加器来构造全加器的方法在4.7.3中讨论了,以下的模块及其连接均基于图4-24完成。 1.新建工程 打开Libero IDE,选择“Project”菜单的“New Project”命令,输入项目名称、选择项目存放路径,选择语言Verilog(如图5-62所示)。设备的选择同5.6中的实例2。 2.新建SmartDesign设计 在“Project Manager”中点击“SmartDesign”按钮(如图5-63),在弹出的对话框中输入设计名称,如图5-64所示。

工作区中会显示打开了“adders”设计的画布,但画布是一片空白,如图5-65所示。 3.添加半加器模块 点击“Project Flow”切换回项目流程,点击“HDL Editor”按钮,输入并新建Verilog 程序文件。如图5-66所示:

在打开的文件中输入半加器程序代码,代码同4.7.3中的半加器设计。 项目会把第一个建立的模块或设计作为“根”(Root),并加粗显示,如果项目中的根不是“adders”,则可在“Design Explorer”窗口中对着“adders”按右键,选择“Set As Root”进行修改。如图5-68所示:

数字逻辑实验

___计算机__学院___专业__1_班________组、学号姓名_______协作者______________ 教师评定_________________ 实验题目____________基于实验箱的数字逻辑实验_____________ 1.基本门电路 2.组合逻辑电路 3.时序逻辑电路

实验报告 基本门电路 一、实验目的 1、了解基本门电路的主要用途以及验证它们的逻辑功能。 2、学习“与或非门”有关参数的测试。 3、熟悉数字电路实验箱的使用方法。 二、实验仪器及器件 1、GDUT-J-1数字电路实验箱。 2、拨码开关SI1~SI8,LED灯LD_I1~LD_I16、LD_O1~LD_O16。 3、逻辑笔,示波器,数字万用表。 4、器件:74HC00、74HC02、74HC04、74HC08、74HC32、74HC86。 三、实验原理 数字电路研究的对象是电路的输入与输出之间的逻辑关系,这些逻辑关系是由逻辑门电路的组合来实现的。门电路是数字电路的基本逻辑单元。要实现基本逻辑运算和复合逻辑运算可用这些单元电路(门电路)进行搭建。门电路以输入量作为条件,输出量作为结果,输入与输出量之间满足某种逻辑关系(即“与、或、非、异或”等关系)。 电路输入与输出量均为二值逻辑的1和0两种逻辑状态。实验中用高低电平分别表示为正逻辑的1和0两种状态。 输出端的1和0两种逻辑状态可用两种方法判定:①将电路的输出端接实验仪的某一位LED,当某一位的LED灯亮时,该位输出高电平,表示逻辑“1”;LED灯不亮时,输出低电平,表示逻辑“0”。②用逻辑笔可以测量输出端的逻辑值。 四、实验结果和数据处理(见附表) 表2-1 74HC00(四2输入与非门)输入输出状态 输入端输出端Y A B LED(亮/灭)逻辑状态 0 0 亮 1 0 1 亮 1 1 0 亮 1 1

数字逻辑状态机例子

Digital System Design 1 2011/6/21 Computer Faculty of Guangdong University of Technology 例:用三进程状态机实现一个简单自动售货机控制电路,电路框图如下。该电路有两个投币口(1元和5角),商品2元一件,不设找零。In[0]表示投入5角,In[1]表示投入1元,Out 表示是否提供货品。

Digital System Design 2 2011/6/21 Computer Faculty of Guangdong University of Technology 根据题意,可分析出状态机的状态包括: S0(00001):初始状态,未投币或已取商品 S1(00010):投币5角 S2(00100):投币1元 S3(01000):投币1.5元 S4(10000):投币2元或以上 用独热码表示状态编码,如上所示。相应状态转换图如下(按Moore 状态机设计)。

Digital System Design 3 2011/6/21 Computer Faculty of Guangdong University of Technology 自动售货机状态转换图

Digital System Design 4 2011/6/21 Computer Faculty of Guangdong University of Technology 设计代码 第一个Always 块:状态转移。

Digital System Design 5 2011/6/21 Computer Faculty of Guangdong University of Technology 第二个Always 块:状态转移的组合逻辑条件判断

广工自动化学院-数电实验-题目:可编程逻辑器件FPGA(计数译码显示系统设计)

广东工业大学实验报告 学院:自动化专业:电力系统自动化11级4班 姓名:xxx 学号:xxxxxxxx 实验日期:2013年5月29日实验地点:实二212 可编程逻辑器件FPGA实验二 计数译码显示系统设计 一、实验目的 1、掌握中规模集成计数器的逻辑功能,以及任意进制计数器的设计方法 2、熟悉显示译码器和数码管的原理及设计应用 3、了解用数字可编程器件实现集成计数译码显示电路的方法 4、学会分频器的使用 5、进一步熟悉QUARTUS软件的基本使用方法 二、实验原理 1、计数器 a)74LS161是十六进制计数器,每输入16个计数脉冲计数器工作一个循环,并在输出端产生一个进 位输出信号,是一个4位同步二进制加法计数器。不仅如此,还具有预置数、保持和异步置零等附加功能。 b)74LS190是十进制计数器,每输入10个计数脉冲计数器工作一个循环,并在输出端产生一个进位/ 借位输出信号,是一个同步加/减计数器。同一般计数器一样,具有预置数、保持和异步置零等附加功能,而且,还多了一个选择电路加、减法的功能。 2、显示译码器和数码管 显示译码器分为:七段字符显示器(简称七段数码管)和BCD-七段显示 数码显示器分为:发光二极管数码管(LED数码管)和液晶显示数码管(LCD数码管) 3、分频器 分频器用于对较高频率的时钟脉冲进行分频操作,得到较低频率的信号,可用于计数。 三、实验内容 1、用74161设计一个十九进制的计数器 (1)原理图

关于加法器仿真的说明:实验要求输入50Mhz的高频率时钟脉冲,通过74292产生1秒左右的的输入时钟,但在仿真过程中,如果按照实际操作,仿真时间会比较长,而要验证加法器的计数是否正确,可以通过以下方法验证: 1.将输入50MHZ的输入端和分频器74292两个部分与右边的电路分开,放置一边; 2.重新给右边电路一 个输入信号,通过一个普通输入端,和一个周期为10ns的时钟脉冲,然后进行仿真即可,结果如下图所示。 (2)功能仿真波形 (3)时序仿真波形 2、用74190设计一个十二进制减法计数器 (1)原理图

EDA数字逻辑实验报告

实验报告 课程名称_数字逻辑及系统设计实验学生学院____计算机____________ 专业班级软件2012(2)班 _ 学号 3112006177 学生姓名陈海兵 指导教师_____林小平 _________ 2013年 12 月24 日

一、 实验目的 1. 熟练掌握基本门电路的主要用途以及验证它们的逻辑功能。 2. 熟练掌握常用组合逻辑电路的基本原理及其逻辑电路功能。 3. 熟练掌握常用时序逻辑电路的基本原理及其逻辑电路功能。 4. 掌握Libero IDE 基于FPGA 的设计流程。 5. 熟悉FPGA 的设计与开发流程。熟悉芯片烧录的流程及步骤。 二、 实验要求 1. 要求每人能独立完成实验。严禁抄袭。 2. 能独立搭建Libero IDE 软件基础环境,掌握FPGA 的开发流程。 3. 按照实验指导书中P56-69的实验步骤进行设计,每一步骤均需要截图显示。 4. 完成3次仿真(综合前,综合后,布局布线后),并将仿真波形截图显示。 5. 将程序烧录到Actel Proasic3 A3P030 FPGA 核心板,在数字逻辑及系统实验箱上完成连 线,验证代码的正确性。 6. 纸制版的封面单面打印,其他页面必须双面打印。全班刻一张光盘。 三、 实验内容 1. 设计题目:用3-8译码器74HC138实现举重比赛的裁判表决电路的组合逻辑函数 ,写出模块代码和测试平台代码。 2. 74HC138功能表参照教材中P53表2-9,引脚图参照实验指导书中P30图2-16。 3. 把每一个步骤的实验结果截图,按实验指导书中P6图1-7中所列FPGA 引脚,手工分 配引脚,最后通过烧录器烧录至FPGA 核心板上。 4. 按分配的引脚连线,实测相应功能并记录结果。 四、 实验结果与截图 1. 模块及测试平台代码清单。 模块代码 // 74HC138.v module decoder3_8_1(DataIn,Enable1,Enable2,Enable3,Eq,y); input [2:0]DataIn; input Enable1,Enable2,Enable3; output [7:0]Eq; reg [7:0]Eq; output y; reg y; integer I; always @(DataIn or Enable1 or Enable2 or Enable3) begin if(Enable1||Enable2||!Enable3) Eq=0; AC BC AB Y ++=

广工数字逻辑与dea设计实验报告

实验报告 1、基本门电路 一、实验目的 1、了解基于Verilog的基本门电路的设计及其验证。 2、熟悉利用EDA工具进行设计及仿真的流程。 3、学习针对实际门电路芯片74HC00、74HC02、74HC0 4、74HC08、74HC32、7 4HC86进行VerilogHDL设计的方法。 4、掌握Libero软件的使用方法。 二、实验环境 Libero仿真软件。 三、实验内容 1、在自己的工程文件中,新建一个设计代码文件(Verilog Source File),文件命名规则:学号+下划线+BasGate 例:3115000001_BasGate.v 在自己的工程文件中,新建一个测试平台文件(HDL Stimulus File),文件命名规则:test_BasGate.v 2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。 3、参考教材P192页的设计代码、测试平台代码(可自行编程,所有门电路放在一个模块里面),完成2输入与非门、2输入或非门、2输入与门、2输入或门、2输入异或门、非门的设计、综合及仿真。 4、提交针对基本门电路的综合结果,以及相应的仿真结果。 四、实验结果和数据处理 1、门电路 ...模块清单及测试平台代码清单 (1)所有硬件功能模块的代码清单(关键代码应有注释) // 3117005278_BasGate.v (综合设计与、或、异或、与非、或非在一个模块) module gates(a,b,y1,y2,y3,y4,y5); input a,b; output y1,y2,y3,y4,y5; assign y1=a&b; assign y2=a|b; assign y3=a^b; assign y4=~(a&b); assign y5=~(a|b); endmodule // test_BasGate.v(综合设计测试平台) `timescale 1ns/1ns module testbench(); reg a,b; wire y1,y2,y3,y4,y5;

广工EDA数字逻辑课后习题问题详解

习题答案 第1章 一、单选题 (1)B (2)C (3)B (4)C (5)D (6)B (7)C (8)D (9)C (10)C (11)D (12)D (13)A (14)D 二、判断题 (1)√ (2)√ (3)× (4)× (5)× (6)× (7)√ (8)× 三、填空题 (1)10000111.101、207.5、87.A (2)185.75 (3)1001 0100 (4)B A ?、B A +、B A B A +、AB B A + (5)C B A ABC C AB ++ (6)C A AD ? (7)B A B A + (8)2n (9)1 (10)1 四、综合题 (1) ① B A B A AD B B A AD DE B B A AD C A A C DE C B B D C A A C B DE C B B BD C A A Y +=++=++=++++=+++++=+++++=)1()()()()(

② B A B A B A D D B A B A A D B D B A B A B B A D B A D B A B A B A AB Y +=+++=++++=+++++=+++++=)1)(())(())()(())(( ③ D B C B A D C D B C B DE B B A C A D B D C C B DE B C B C A D BC A D B D C C B DE B A C B A AC DE B A D BC A C B A D C D B C B AC Y ++=+++++=+++++++=+++++++=+++++++=)1()1()()()( (2) ① BCD C B D B A B A D C B A Y ++++= 函数卡诺图如下: 化简结果为:BD D A D C Y ++= ② F(A,B,C,D)=Σm(0,2,4,5,6,7,8,10,12,14) 函数卡诺图如下: 化简结果为:D B A D C B A F +=),,,( ③ F(A,B,C,D)=Σm(1,2,6,7,10,11)+Σd(3,4,5,13,15)

交通灯控制器设计 广工 数电

课程设计 课程名称电子技术综合设计与实践题目名称交通灯控制器 学生学院自动化学院 专业班级09自动化 4班 学号 学生姓名 指导教师张学习 2011年9 月31 日

广东工业大学课程设计任务书 题目名称交通灯控制器 学生学院自动化学院 专业班级09自动化4班 姓名马聪文 学号3109001620 一、课程设计的内容 设计一个十字路口的红、绿、黄三色信号交通灯控制电路。 二、课程设计的要求与数据 1). 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。 3). 能实现正常的、即时显示功能。用DE2上的四个七段数码管作为倒计时显示器。分别显示东西、南北方向的红灯、绿灯、黄灯时间。 4).能实现特殊状态的功能显示。设S为特殊状态的传感器信号,当S=1时,进入特殊状态。当S=0时,退出特殊状态。按S后,能实现特殊状态功能:(1)显示器闪烁; (2)计数器停止计数并保持在原来的数据; (3)东西、南北路口均显示红灯状态; (4)特殊状态结束后,能继续对时间进行计数。 5).能实现总体清零功能。按下R后,系统实现总清零,计数器由初始状态开始计数,对应状态的指示灯亮。

广工数据挖掘复习要点汇总

第一章绪论 1. 数据挖掘要解决的问题:面对高维,复杂,异构的海量数据,如何集中获取有用的信息和知识。 2. 数据挖掘定义: ?技术层面上:数据挖掘就是从大量数据提取有用信息的过 程; ?商业层面上:数据挖掘就是对大量业务数据进行抽取,转换和分析以及建模处理,从中提取辅助商业决策的关键性数据。 3. 数据挖掘的特征:先前未知,有效和实用。 4. 数据挖掘对象:?关系数据库(借助集合代数等概念和方法来处理数据库中的数据)?数据仓库(数据集合,用于支持管理决策)?事务数据库(每个记录代表一个事务)?空间数据库?事态数据库和时间序列数据库?流数据?多媒体数据库?文本数据 库?万维数据库 5. 数据挖掘任务:分类分析(按照某种规则),聚类分析(具有 共性),回归分析,关联分析(具有关联规则),离群点检测(发现与众不同的数据),演化分析(随时间变化的数据对象的趋势)序列模式挖掘(分析前后序列模式) 6. 数据挖掘过程:数据清洗,数据集成(考虑数据一致性和冗余)数据选择,数据转换,数据挖掘,模式评估,知识表示。例题:

1.1 数据挖掘处理的对象有哪些?请从实际生活中举出至少三种。 答:数据挖掘处理的对象是某一专业领域中积累的数据,对象既可以来自社会科学, 又可以 来自自然科学产生的数据, 还可以是卫星观测得到的数据。数据形式和结构也各不相同, 可以是传统的关系数据库, 可以是面向对象的高级数据库系统, 也可以是面向特殊应用的 数据库, 如空间数据库、时序数据库、文本数据库和多媒体数据库等,还可以是数据 信息。 实际生活的例子: ①电信行业中利用数据挖掘技术进行客户行为分析,包含客户通话记录、通话时间、所开通的服务等,据此进行客户群体划分以及客户流失性分析。②天文领域中利用决策树等数据挖掘方法对上百万天体数据进行分类与分析,帮助天文 学家发现其他未知星体。 ③制造业中应用数据挖掘技术进行零部件故障诊断、资源优化、生产过程分析等。 ④市场业中应用数据挖掘技术进行市场定位、消费者分析、辅助制定市场营销策略等。 1.5 定义下列数据挖掘功能:关联、分类、聚类、演变分析、离群点检测。使用你熟悉的生活中的数据,给出每种数据挖掘功能的例子。

数字逻辑实验一

实验一基本逻辑门逻辑以及加法器实验 一、实验目的 1.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验所用器件和仪表 1.二输入四与非门74LS00 1片 2.二输入四或非门74LS28 1片 3.二输入四异或门74LS86 1片 三、实验内容 1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2.测试二输入四或非门74LS28一个或非门的输入和输出之间的逻辑关系。 3.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 4.掌握全加器的实现方法。用与非门74LS00和异或门74LS86设计一个全加器。 四、实验提示 1.将被测器件插入实验台上的14芯插座中。 2.将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的+5V 连接。 3.用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。4.将被测器件的输出引脚与实验台上的电平指示灯连接。指示灯亮表示输出电平为1,指示灯灭表示输出电平为0。 五、实验接线图及实验结果 74LS00中包含4个二与非门,74LS28中包含4个二或非门,74LS86中包含4个异或门,下面各画出测试第一个逻辑门逻辑关系的接线图及测试结果。测试其他逻辑门时的接线图与之类似。测试时各器件的引脚7接地,引脚14接+5V。图中的K1、K2是电平开关输出,LED0是电平指示灯。

1.测试74LS00逻辑关系接线图及测试结果(每个芯片的电源和地端要连接) 图1.1 测试74LS00逻辑关系接线图 表1.1 74LS00真值表 1. 测试74LS28逻辑关系接线图及测试结果 图1.2 测试74LS28逻辑关系接线图 表1.2 74LS28真值表 3.测试74LS86逻辑关系接线图及测试结果 图1.3 测试74LS86逻辑关系接线图 表1.2 74LS68真值表

数字逻辑实验报告-电子科技大学

软件工程专业类课程 实 验 报 告 课程名称: 学院: 专业: 学生姓名: 学号: 指导教师: 评分: 日期:2015年6月9日 1 / 20

电子科技大学 实验报告 一、实验名称基本门电路的功能和特性及其组合电路逻辑实验 二、实验目的 三、实验内容 (1)部分TTL门电路逻辑功能验证 测试其真值表及其简单组合电路的真值表。 (2)组合逻辑电路设计之全加器或全减器 用74LS86(异或)和74LS00(与非)搭出全加器或全减器电路,画出其电路图,并按照其真值表输入不同的逻辑电平信号,观察输出结果和进位/借位电平,记录下来。

四、实验设备和器材 (1)数字逻辑试验箱 (2)导线若干 (3)集成器件:74LS00(与非)74LS04(非)74LS86(异或) 五、实验原理 (1)组合逻辑电路分析方法 (2)组合逻辑电路设计方法 A.逻辑抽象 分析事件的因果关系,确定输入变量和输出变量。 B.逻辑赋值 定义逻辑状态的含义,即以“0”、“1”分别表示输入和输出的不同状态。 C.根据因果关系列出真值表 3 / 20

D.化简或变换后,得到逻辑函数表达式 E.画出逻辑电路图 (3)全加器或全减器设计 图1-1

20 图1-2 图1-3 5 /

六、实验步骤 (1)在实验箱上插入相应的逻辑门电路,并把输入端接实验箱的逻辑开关,输出端接发光二极管,接好电源正负极,即可进行逻辑门特性验证实验,将门的逻辑特性制成表格。 (2)用74LS00连接电路如下图所示,并把输入端接实验箱的逻辑开关,输出端接发光二极管,在MNXY各种输入组合下,观测输出F,并记录下来,写出F=f(M,N,X,Y)的逻辑表达式。 图1-4 (3)用74LS86和74LS00搭出全加器或全减器电路,画出其电路图,并按照其真值表输入不同的逻辑电平信号,观察输出结果和进位/借位电平,记录下来。

广东工业大学—基于Libero的数字逻辑设计仿真及验证实验实验报告讲解

计算机学院专业班__组、学号 姓名协作者______________ 教师评定_________________ 实验题目基于Libero的数字逻辑设计仿真及验证实验 1、熟悉EDA工具的使用;仿真基本门电路。 2、仿真组合逻辑电路。 3、仿真时序逻辑电路。 4、基本门电路、组合电路和时序电路的程序烧录及验证。 5、数字逻辑综合设计仿真及验证。

实验报告 1、基本门电路 一、实验目的 1、了解基于Verilog的基本门电路的设计及其验证。 2、熟悉利用EDA工具进行设计及仿真的流程。 3、学习针对实际门电路芯片74HC00、74HC02、74HC0 4、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。 二、实验环境 Libero仿真软件。 三、实验内容 1、掌握Libero软件的使用方法。 2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。 3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC00、74HC02、74HC0 4、74HC08、74HC32、74HC86相应的设计、综合及仿真。 4、提交针对基本门电路的综合结果,以及相应的仿真结果。 四、实验结果和数据处理 1、门电路 ...模块及测试平台代码清单 注:文件命名要求。 工程(project)名要求:学号末4位+下划线+BasGate,例如陈静(3212005896)的工程名为“5896_BasGate”。 设计代码文件名1:要求同上,即“5896_BasGate.v”。 测试平台文件名:自己定义。 (1)// 模块一:2输入与门、或门、与非、或非、异或门各一,输入信号(1位A,1位B),输出信号(Y1,Y2,Y3,Y4,Y5) module gates_1(A,B,Y1,Y2,Y3,Y4,Y5); input A,B; output Y1,Y2,Y3,Y4,Y5; assign Y1=A&B;

数字逻辑实验心得

实验一心得 第一次做的数字逻辑实验是全加器,那时什么都还不太了解,听老师讲解完了之后也还不知道从何下手,看到前面的人都开始着手做了,心里很着急可就是毫无头绪…… 老师说要复制一些文件辅助我们做实验(例如:实验报告模板、实验操作步骤、引脚等与实验有关的文件),还让我们先画原理图。这时,关于实验要做什么心里才有了一个模糊的框架。看到别人在拷贝文件自己又没有U盘只好等着借别人的用,当然在等的时候我也画完了全加器的原理图。 拷了文件之后有了实验操作步骤才开始慢慢跟着步骤操作,如此很不熟练的开始了第一次实验。中途仿真编译等了好久终于激动的看到进度显示99%的时候突然就“无法响应”了!失落之余也只能关了重新做……时间很快就过去了,轮到第二组,实验还是没有完成。花了一些时间终于下载好了结果也正确了,但由于是第二组实验的时间所以只能等下次再交给老师检查。但是心里还是很开心!到最后通过自己的努力最终把第一个实验完成了,这是一个好的开始! 但是我没想到的是,后来做第二三四个实验的时候都来不及给老师检查这第一个实验。不过开心的是做完第五个实验的时候终于把第一次实验的尾收了,突然觉得原来认为很难做的第一个实验现在看来是那么的简单! 真的发现实验的次数多了,熟练了,知道自己要做的是什么,明确了目标,了解了方向,其实也没有想象中那么困难。

实验二心得 第二次做的实验是编码及译码器的应用,相对于第一次的实验难上了好几个层次。而且之前记得的操作步骤现在几乎忘了,只能从零开始,看一眼操作步骤做一步。 在老师的指导下勉强把原理图画出来了,但是编译的时候还是发现了很多错误甚至少了一些器件。在慢慢摸索的过程中也渐渐懂得了其中的原理。该选什么器件?线怎么连?要选择那些引脚?等等。虽然到最后结束两小时之后还是没能完成本次实验,但是心里有一种成就感,因为我终于开始理解了!毕竟这个实验对于我来说还是有一定挑战的。 这一次把做的工程文件都上传到服务器上了,所以先对于第一次实验就方便多了,不用再因为换机子的问题到处借U盘了。我发现想安安心心的做好实验选择一台好的电脑、好的下载电路板和好的数据线是很关键的,所以以后做实验一定要早点到! 两次的实验都没能拿到实验报告让我非常着急,甚至担心第三次实验又会像这次一样。但是这个想法在下一秒马上被否决,我对自己有信心,有一个声音告诉我,我一定能完成实验! 两次实验都因为准备不足导致时间不足而没完成实验,所以下次实验一定要先做好充分的准备,比如先画好原理图,熟记操作步骤等。 最终第二次实验也是在做完第五次实验才完成,所以第二次实验成为了最后一个被检测并得到实验报告的实验。而且中途还出现了一些问题,但被我解决了,所以结果是美好的!

广工数据挖掘复习要点

第一章绪论 1.数据挖掘要解决的问题:面对高维,复杂,异构的海量数据,如何集中获取有用的信息和知识。 2.数据挖掘定义: ·技术层面上:数据挖掘就是从大量数据提取有用信息的过程; ·商业层面上:数据挖掘就是对大量业务数据进行抽取,转换和分析以及建模处理,从中提取辅助商业决策的关键性数据。 3.数据挖掘的特征:先前未知,有效和实用。 4.数据挖掘对象:·关系数据库(借助集合代数等概念和方法来处理数据库中的数据)·数据仓库(数据集合,用于支持管理决策)·事务数据库(每个记录代表一个事务)·空间数据库·事态数据库和时间序列数据库·流数据·多媒体数据库·文本数据库·万维数据库 5.数据挖掘任务:分类分析(按照某种规则),聚类分析(具有共性),回归分析,关联分析(具有关联规则),离群点检测(发现与众不同的数据),演化分析(随时间变化的数据对象的趋势),序列模式挖掘(分析前后序列模式) 6.数据挖掘过程:数据清洗,数据集成(考虑数据一致性和冗余),数据选择,数据转换,数据挖掘,模式评估,知识表示。 例题: 1.1 数据挖掘处理的对象有哪些?请从实际生活中举出至少三种。 答:数据挖掘处理的对象是某一专业领域中积累的数据,对象既可以来自社会科学,又可以 来自自然科学产生的数据,还可以是卫星观测得到的数据。数据形式和结构也各不相同, 可以是传统的关系数据库,可以是面向对象的高级数据库系统,也可以是面向特殊应用的 数据库,如空间数据库、时序数据库、文本数据库和多媒体数据库等,还可以是Web 数据 信息。 实际生活的例子: ①电信行业中利用数据挖掘技术进行客户行为分析,包含客户通话记录、通话时间、所 开通的服务等,据此进行客户群体划分以及客户流失性分析。 ②天文领域中利用决策树等数据挖掘方法对上百万天体数据进行分类与分析,帮助天文 学家发现其他未知星体。 ③制造业中应用数据挖掘技术进行零部件故障诊断、资源优化、生产过程分析等。 ④市场业中应用数据挖掘技术进行市场定位、消费者分析、辅助制定市场营销策略等。 1.5定义下列数据挖掘功能:关联、分类、聚类、演变分析、离群点检测。使用你熟悉的 生 活中的数据,给出每种数据挖掘功能的例子。

基于Libero的数字逻辑设计仿真及验证实验实验报告(实验4到8)2012版

___计算机__学院______________专业_____班________组、学号______ 姓名______________协作者______________ 教师评定_________________ 实验题目_________基于Libero的数字逻辑设计仿真及验证实验_________ 1、熟悉EDA工具的使用;仿真基本门电路。 2、仿真组合逻辑电路。 3、仿真时序逻辑电路。 4、基本门电路、组合电路和时序电路的程序烧录及验证。 5、数字逻辑综合设计仿真及验证。

实验报告 1、基本门电路 一、实验目的 1、了解基于Verilog的基本门电路的设计及其验证。 2、熟悉利用EDA工具进行设计及仿真的流程。 3、学习针对实际门电路芯片74HC00、74HC02、74HC0 4、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。 二、实验环境 Libero仿真软件。 三、实验内容 1、掌握Libero软件的使用方法。 2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。 3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC00、74HC02、74HC0 4、74HC08、74HC32、74HC86相应的设计、综合及仿真。 4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个 ....)的综合结果,以及相应的仿真结果。 四、实验结果和数据处理 1、所有 ..模块及测试平台代码清单 //74HC00代码-与非 //74HC00测试平台代码 //74HC02代码-或非 //74HC02测试平台代码

广工数据库_期末_考试_试题

广工《数据库原理与应用》试题 试卷适用专业:08网络工程 姓名:学号: 学院:学院专业班级:网络工程 成绩登记表(由阅卷教师用红色笔填写) 大题号一二三四五总分 得分 阅卷教师: 200 年月日考试说明:本课程为闭卷考试,可携带计算器。 一、选择题(每题1分,共30分选择正确答案的编号, 填在各题后的括号内) 1、用二维表结构表示实体以及实体间联系的数据模型为()。 A、网状模型B、层次模型 C、关系模型D、面向对象模型 2、SQL语言是()标准语言。 A、层次数据库 B、网络数据库 C、关系数据库 D、非数据库 3、创建表时,用来说明字段默认值的是( )。 A、 CHECK B、 CONSTRAINT C、 DEFAULT D、 UNIQUE 4、下述SQL语句中,更新表中数据作用的命令动词是( )。 A、 ALTER B、 CREATE C、 UPDATE D、 INSERT 5、在SELECT语句中使用“*”表示()。 A、选择任何字段 B、选择全部字段 C、选择全部记录 C、选择主码 得分阅卷教师

6、视图是从一个可以多个()表中导出的。 A、基表 B、虚表 C、索引 D、记录 7、数据库的( )是指数据的正确性和相容性。 A.安全性 B.完整性 C.并发控制 D.恢复 8、要保证数据库的数据独立性,需要修改的是( )。 A、三层模式之间的两种映射 B、模式与内模式 9、空值NULL是()的值。 A、空字符串 B、不知道的、不确定或无法填入 C、数值零 D、以上都不是 10、下面是聚合函数的选项是()。 A、 distinct B、sum C、 if D、top 11、子查询可以返回()行而不产生错误。 A、仅一行 B、如果不以ANY、ALL、EXISTS或IN开头,则仅一行 C、无限多行 D、如果不以ANY、ALL、EXISTS或IN开头,则为无限行 12、属性是指实体具有的()。 A. 某种描述. B、某种特性 C、某种数值. D、某种类型 13、在一个关系中如果有这样一个属性存在着,它的值能惟一地标识关系中的每一个元组,称这个属性为 ( ) A.候选码 B.数据项 C.主属性 D.主属性值 14、表中可以()一个元组(一个记录)的某个属性组(字段组)称为主键. A、唯一确定 B、不唯一确定 C、模糊确定 D、表达 15、若某个属性组不是关系A主码,但它是另一个关系B的主码,则该属性或属性组称为关系A的( )。 A、外部属性 B、主键 C、内键 D、外键

广工数字逻辑复习题DOC

一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为___C_____。 A . D C B A F +++= B . D C B A F +++= C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。

8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz C . 100KHz D .50KHz 9.下列器件中,属于时序部件的是_____A_____。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011 11.TTL 电路的电源是__5__V ,高电平1对应的电压范围是__2.4-5____V 。 12.N 个输入端的二进制译码器,共有___N 2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。 装 订 线 内 请 勿 答

数字逻辑实验一

《数字逻辑实验》报告一:基本门电路 1. 与非门逻辑功能测试实验 1. 实验目的:测试与非门74LS00芯片的逻辑功能; 根据测试结果完成74LS00的真值表5-1-4。 2. 原理: 实现“与非”运算的电子电路称为与非门。 门电路通常用高电平表示逻辑值“1”,低电平表示逻辑值“0”。TLT 门电路高电平的典型值为=5V~3.6V,低电平的典型值为=0.4V;CMOS 门电路高电平的值为=5V,低电平的值为=0V。 TLT与非门的输入输出电压关系: 输入输出 A B Y 0V0V5V 0V5V5V 5V0V5V 5V5V0V 与非门逻辑功能: 二输入端 四输入端

3. 实验步骤: 1 将74LS00的输入引脚连接到任一开关,输出连接到任一对发光二极管。 (注意:引脚7连接“接地插孔”,用黑色线连接;引脚14连接+5V电源插孔,用红色线连接。输入线用黄色连接,输出线用蓝色连接。)2 拨动开关,观察二极管的变化,填表5-1-4。 4. 实验数据: 表1-4 与非门的逻辑功能 A B F 001 011 101 110 5. 实验现象: 只有在连接输入端的两个开关同时拨向上方时,上方的发光二极

管发光;其他情况下的开关组合都是下方的发光二极管发光。 6. 体会: 认识了芯片74LS00的各个引脚对应的输入输出关系,并且首次独立地完成了数字逻辑实验课的第一个验证实验,很好的帮助理解了“与非门”这个概念。在检测的同时也发现了实验设备的一些故障,学到了寻找问题与解决问题的珍贵之处。 2. 异或门逻辑功能测试实验 1. 实验目的:测试异或门74LS86芯片的逻辑功能。 根据测试结果完成表5-1-7。 2. 原理: 实现“异或”运算的电子电路称为异或门。 门电路通常用高电平表示逻辑值“1”,低电平表示逻辑值“0”。TLT 门电路高电平的典型值为=5V~3.6V,低电平的典型值为=0.4V。CMOS 门电路高电平的值为=5V,低电平的值为=0V。当某一类的门电路的输出作为另一类型的门电路的输入信号时,必须在它们之间增加一种电压转换电路,否则会出现错误的输出。 异或门逻辑功能:

相关文档
相关文档 最新文档