文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术试题库及答案

数字电子技术试题库及答案

数字电子技术试题库及答案
数字电子技术试题库及答案

数字电子技术期末试题库

一、选择题:

A组:

1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的

A、00100

B、10100

C、11011

D、11110

2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和

C、逻辑函数的最简或与式

D、逻辑函数的最大项之和

3、在下列逻辑电路中,不是组合逻辑电路的是(D)

A、译码器

B、编码器

C、全加器

D、寄存器

4、下列触发器中没有约束条件的是(D)

A、基本RS触发器

B、主从RS触发器

C、同步RS触发器

D、边沿D触发器

5、555定时器不可以组成D。

A.多谐振荡器

B.单稳态触发器

C.施密特触发器

D.J K触发器

6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。

A、有

B、无

C、允许

D、不允许

7、(D)触发器可以构成移位寄存器。

A、基本RS触发器

B、主从RS触发器

C、同步RS触发器

D、边沿D触发器

8、速度最快的A/D转换器是(A)电路

A、并行比较型

B、串行比较型

C、并-串行比较型

D、逐次比较型

9、某触发器的状态转换图如图所示,该触发器应是( C )

A. J-K触发器

B. R-S触发器

C. D触发器

D. T触发器

10.(电子专业作)对于VHDL以下几种说法

错误的是(A )

A VHDL程序中是区分大小写的。

B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成

C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚

D 结构体是描述元件内部的结构和逻辑功能

B组:

1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A )

A.二进制

B.八进制

C. 十进制

D.十六进制

2、十进制数6在8421BCD码中表示为-------------------------------------------------( B )

A.0101

B.0110

C. 0111

D. 1000

3、在图1所示电路中,使

__

A

Y 的电路是---------------------------------------------( A )

A. ○1

B. ○2

C. ○3

D. ○4

4、接通电源电压就能输出矩形脉冲的电路是------------------------------------------( D )

A. 单稳态触发器

B. 施密特触发器

C. D触发器

D. 多谐振荡器

5、多谐振荡器有-------------------------------------------------------------------------------( C )

A. 两个稳态

B. 一个稳态

C. 没有稳态

D. 不能确定

6、已知输入A、B和输出Y的波形如下图所示,则对应的逻辑门电路是-------( D )

A. 与门

B. 与非门

C. 或非门

D. 异或门

7、下列电路中属于时序逻辑电路的是------------------------------------------------------( B )

A. 编码器

B. 计数器

C. 译码器

D. 数据选择器

8、在某些情况下,使组合逻辑电路产生了竞争与冒险,这是由于信号的---------( A )

A. 延迟

B. 超前

C. 突变

D. 放大

9、下列哪种触发器可以方便地将所加数据存入触发器,适用于数据存储类型的

时序电路--------------------------------------------------------------------------------( C )

A. RS触发器

B. JK触发器

C. D触发器

D. T触发器

10、电路和波形如下图,正确输出的波形是-----------------------------------------------( A )

A. ○1

B. ○2

C. ○3

D. ○4

C组:

1.十进制数25用8421BCD码表示为 A 。

A.11001

B.0010 0101

C.100101

D.10001

2. 当逻辑函数有n个变量时,共有 D 个变量取值组合?

A. n

B. 2n

C. n2

D. 2n

3.在何种输入情况下,“与非”运算的结果是逻辑0。D

A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1

4.存储8位二进制信息要D个触发器。

A.2

B.3

C.4

D.8

5.欲使J K触发器按Q n+1=Q n工作,可使J K触发器的输入端A。A.J=K=1 B.J=0,K=1 C.J=0,K=0 D.J=1,K=0

6.多谐振荡器可产生B。

A.正弦波

B.矩形脉冲

C.三角波

D.锯齿波 7.在下列逻辑电路中,不是组合逻辑电路的是 A 。 A.译码器 B.编码器 C.全加器 D.寄存器 8.八路数据分配器,其地址输入端有 B 个。 A.2 B.3 C.4 D.8

9.8位移位寄存器,串行输入时经 D 个脉冲后,8位数码全部移入寄存器中。

A.1

B.2

C.4

D.8 10.一个无符号8位数字量输入的DAC ,其分辨率为 D 位。 A.1 B.3 C.4 D.8

D 组:

1、下列四个数中,最大的数是( B ) A 、(AF )16 B 、(001010000010)8421BCD C 、(10100000)2 D 、(198)10

2、下列关于异或运算的式子中,不正确的是( B ) A 、A ⊕A=0 B 、1=⊕A A

C 、A ⊕0=A

D 、A ⊕1=A

3、下列门电路属于双极型的是( A ) A 、OC 门 B 、PMOS C 、NMOS D 、CMOS

4、对于钟控RS 触发器,若要求其输出“0”状态不变,则输入的RS 信号应为( A ) A 、RS=X0 B 、RS=0X C 、RS=X1 D 、RS=1X

5、如图所示的电路,输出F 的状态是( D )

A 、A

B 、A

C 、1

D 、0

6、AB+A 在四变量卡诺图中有( B )个小格是“1”。

A 、13

B 、12

C 、6

D 、5 7、二输入与非门当输入变化为( A )时,输出可能有竞争冒险。

A. 01→10

B. 00→10

C. 10→11

D. 11→01

8、N 个触发器可以构成能寄存( B )位二进制数码的寄存器。

A.N -1

B.N

C.N +1

D.2N

9、以下各电路中,( B )可以产生脉冲定时。 A. 多谐振荡器 B.单稳态触发器

C.施密特触发器

D.石英晶体多谐振荡器

10、输入至少( B )位数字量的D/A 转换器分辨率可达千分之一。

A. 9

B. 10

C. 11

D. 12

E 组:

1、下列编码中,属可靠性编码的是________。

A .格雷码 B. 余3码 C. 8421BCD 码 D. 2421BCD 码

2、下列电路中,不属于时序逻辑电路的是________。

A .计数器

B .加法器

C .寄存器

D .M 序列信号发生器

3、下列函数Y=F (A,B,C,D )中,是最小项表达式形式的是________。

A .Y=A+BC

B .Y=ABCD+A

C C .

D C B A D C B A Y +?= D .BCD A CD B A Y +?= 4、要实现n n Q Q =+1,JK 触发器的J 、K 取值应为________。

A .J=0,K=0

B .J=0,K=1

C .J=1,K=0

D .J=1,K=1

5、用555定时器组成施密特触发器,外接电源VCC=12V 电压,输入控制端CO 外接10V 电压时,回差电压为________。

A. 4V

B. 5V

C. 8V

D. 10V

二、 判断题: A 组:

1、MP 3音乐播放器含有D/A 转换器,因为要将存储器中的数字信号转换成优美动听的模拟信号——音乐。( √ )

2、真值表、函数式、逻辑图、卡诺图和时序图,它们各具有特点又相互关联。( √ )

3、有冒险必然存在竞争,有竞争就一定引起冒险。( × )

4、时序逻辑电路的特点是:电路任一时刻的输出状态与同一时刻的输入信号有关,与原有状态没有任何的联系( × )

5、(电子专业作)FPGA 是现场可编程门阵列,属于低密度可编程器件。( × ) B 组:

1、时序电路无记忆功能,组合逻辑电路有记忆功能。--------------------------------------( × )

2、在普通编码器中,任何时刻都只允许输入二个编码信号,否则输出将发生混乱。( × )

3、基本的RS 触发器是由二个与非门组成。----------------------------------------------------( √ )

4、A/D 转换器是将数字量转换为模拟量。-----------------------------------------------------( × )

5、逻辑电路如下图所示,只有当A=0,B=0时Y=0才成立。----------------------------( √ )

C 组:

1.若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。( × ) 2.三态门的三种状态分别为:高电平、低电平、不高不低的电压。( × ) 3.D 触发器的特性方程为Q n +1=D ,与Q n 无关,所以它没有记忆功能。(× ) 4.编码与译码是互逆的过程。( √ ) 5.同步时序电路具有统一的时钟CP 控制。( √ ) D 组:

1、时序逻辑电路在某一时刻的输出状态与该时刻之前的输入信号无关。( × )

2、D 触发器的特性方程为Q n +1=D ,与Q n 无关,所以它没有记忆功能。( × )

3、用数据选择器可实现时序逻辑电路。( × )

4、16位输入的二进制编码器,其输出端有4位。(√)

5、时序电路不含有记忆功能的器件。( × )

三、 填空题:

A 组:

1、数字电路按照是否有记忆功能通常可分为两类: 组合逻辑电路、

时序逻辑电路 。

2、 三态门的三种状态是指___0____、___1___、____高阻___。

3、实现A/D 转换的四个主要步骤是___采样___、___保持__、___量化__、___编码____。 4、将十进制转换为二进制数、八进制数、十六进制数:

(25.6875D )=( B )=( O )

5、寄存器分为____基本寄存器___________和_______移位寄存器_______两种。

6、半导体数码显示器的内部接法有两种形式:共 阳极 接法和

共 阴极 接法。

7、与下图真值表相对应的逻辑门应是____与门__________

输入 A B 输出 F

0 0 0 0 1 0 1 0 0 1 1

1

8、已知L=A C +B C ,则L 的反函数为F =_______。

9、基本RS 触发器,若现态为1,S =R =0,则触发状态应为____1___。

10、(电子专业选作)ROM 的存储容量为1K ×8,则地址码为__10____位,数据线为_____8______位。

B 组:

1、请将下列各数按从大到小的顺序依次排列:(246)8;(165)10;(10100111)2;(A4)16 (10100111)2> (246)8 > (165)10 > (A4)16

2、逻辑函数有三种表达式: 逻辑表达式 、 真值表 、 卡诺图 。

3、TTL 逻辑门电路的典型高电平值是 3.6 V ,典型低电平值是 0.3 V 。

4、数据选择器是一种 多个 输入 单个 输出的中等规模器件。

5、OC 门能实现“ 线与 ”逻辑运算的电路连接,采用总线结构,分时传输数据时,应选用 三态门 。

6、逻辑表达式为__

__

B A

C A BC F ++= ,它存在 0 冒险。

7、时序逻辑电路在某一时刻的状态不仅取决于 这一时刻 的输入状态,还与电路 过去的 状态有关。

8、触发器按逻辑功能可以分为 RS 、D 、JK 、T 四种触发器。

9、双稳态触发器电路具有两个稳态,并能触发翻转的两大特性。

10、模数转换电路包括采样、保持、量化和编码四个过程。

C组:

1、二进制(1110.101)2转换为十进制数为_____14.625_________。

2、十六进制数(BE.6)16转换为二进制数为________(10111110.011)2___。

3、F=A BCD+A B C+AB C+ABC=Σm(__7,10,11,12,13,14,15_______)。

4、F=AC+B D的最小项表达式为_Σm (1,3,9,10,11,14,15)____________________。5.一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它

不允许输入S=0且R=0的信号。

6.555定时器的最后数码为555的是T T L产品,为7555的是C M O S产品。

7、TTL与非门的多余输入端悬空时,相当于输入_____高____电平。

8.数字电路按照是否有记忆功能通常可分为两类:组合逻辑电路、时序逻辑电路。

9.对于共阳接法的发光二极管数码显示器,应采用低电平驱动的七段显示译码器。

10、F=AB+C的对偶函数是_______ F1=(A+B)·C______________。

D组:

1、将(234)8按权展开为2×82+3×81+4×80。

2、(10110010.1011)2=( 262.54 )8=( B2.B )16

3、逻辑函数F=A+B+C D的反函数F= A B(C+D)。

4、逻辑函数通常有真值表、代数表达式、卡诺图等描述形式。

5、施密特触发器具有回差现象,又称电压滞后特性。

6、在数字电路中,按逻辑功能的不同,可以分为逻辑电路和时序电路。

7、消除冒险现象的方法有修改逻辑设计、吸收法、取样法和选择可靠编码。

8、触发器有2个稳态,存储8位二进制信息要8个触发器。

9、逻辑代数运算的优先顺序为非、与、或。

10、寄存器按照功能不同可分为两类:移位寄存器和数码寄存器。E组:

1、数字信号的特点是在上和上都是不连续变化的,其高电平和

低电平常用和来表示。

2、请将下列各数按从大到小的顺序依次排列:(123)8;(82)10;(1010100)2;(51):> > 16

> ,以上四个数中最小数的8421BCD码为()8421BCD。

3、除去高、低电平两种输出状态外,三态门的第三态输出称为状态。

4、在555定时器组成的脉冲电路中,脉冲产生电路有 ,脉冲

整形电路有 、 ,其中 属于双稳态电路。

5、存储容量为4K ×8的SRAM ,有 根地址线,有 根数据线,用其扩展成容量为16K ×16的SRAM 需要 片。

6、实现A/D 转换的四个主要步骤是___ __、___ __、___ __和编码。

四、 综合题 A 组:

1、用代数法化简: C A C B C A AB Y __

______+++=

解:__

__

__

__

__

____

)(C B AB A A C B AB C C A C B AB Y ++=++=+++= B A C B B A +=++=__

____

2、 卡诺图化简:

解:__

C B Y +=

1AB C

011110

1

1

00

××

11

B

__

C

3、电路如下图所示,已知输入波形,试写出Y 的逻辑表达式并画出输出波形。

解:__

B A Y =

4、跟据给定的i u 波形,画出电路的输出0u 。

解:

5、用8选1数据选择器74LS151实现函数。

C B C A B A F ++=

A B A 0A 1A 2D 0

D 1D 2

D 3D 4

D 5

D 6D 7

Q

C

F S

解:(1)将输入变量C 、B 、A 作为8选1数据选择器的地址码A 2、A 1、A 0。

(2)使8选1数据选择器的各数据输入D 0~D 7分别与函数F 的输出值一一相对应。 即:A 2A 1A 0=CBA , D 0=D 7=0 D 1=D 2=D 3=D 4=D 5=D 6=1 则8选1数据选择器的输出Q 便实现了函数C B C A B A F ++=。

A B A 0A 1A 2D 0

D 1D 2

D 3D 4

D 5D 6D 7

Q

C

F S

6、分析下图所示的时序逻辑电路,设触发器的初态为Q1=Q0=0,试: (1) 写出输出方程,驱动方程,状态方程; (2) 列出状态转换真值表;、 (3) 画出时序图;

(4) 分析电路的逻辑功能。

Q X 0CP Q 1

Z

解:

1. 写出各逻辑方程:

驱动方程: J 0=K 0=1 J 1=K 1=n

Q X 0⊕

将驱动方程代入JK 触发器的特性方程n n n Q K Q J Q

+=+1

,得:

次态方程: n

n Q Q 010=+

n n

n n n n n Q Q X Q Q X Q Q X Q 10101011)()()(⊕⊕=⊕+⊕=+

输出方程: n

n Q Q Z 01=

2. 列出状态表如表所示。

表解6.2

S X

0 1 Q 1n Q 0n Q 1n +1 Q 0n +1 Z Q 1n +1 Q 0n +1 Z 0 0 1 1

0 1 0 1

0 1 1 0

1 0 1 0

0 0 0 1

1 0 0 1

1 0 1 0

0 0 0 1

3. 画出状态图及波形图如图解所示。

Q 1/110010

Q 010/0

11

0/0

0/0

0/1

1/01/01/0X/Z

Q X 0CP Q 1

Z

(a ) (b )

4. 逻辑功能分析

由状态图可以很清楚地看出电路状态转换规律及相应输入、输出关系:该电路一共有4个状态00、01、10、11。当X =0时,按照加1规律从00→01→10→11→00循环变化,并每当转换为11状态(最大数)时,输出Z =1。当X =1时,按照减1规律从11→10→01→00→11循环变化。所以该电路是一个可控的四进制计数器,其中Z 是进位信号输出端。

B 组:

1、用公式法化简下列逻辑表达式

(1)(A+B )(A +B ) (2)A+B+C+A B C =A B+A B =1 2、用卡诺图化简下列逻辑表达式

(1) F (A ,B ,C ,D )=∑m (0,1,2,3,5,7)

F=A B + A D

3、试画出Q 端波形,设初始J=1,Q=0,悬空表示接高电平

4、用74LS138和门电路实现函数F = A B C +A B +B C ,并画出逻辑电路图。

F=64210Y Y Y Y Y ????

AB CD

00 01 11 10 00 1 0 0 0 01 1 1 0 0 11 1 1 0 0 10

1

A B C

L

5、试设计一个满足下图功能的组合逻辑电路 1.真值表

A B C

L

0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1

1

L=AC+BC

6、分析下图时序电路(设初始状态为0) 1、列出时钟方程和驱动方程 2、列出状态方程 3、列出状态表 4、画出状态图 5、描述电路功能

解:1、时钟方程:CP 1=CP 2=CP 3=CP 驱动方程为:

n Q D 13= n Q D 32= n Q D 21=

2、D 触发器的特性方程为:

Q n+1=D

状态方程为:

n n Q Q 11

3=+ n n Q Q 312=+ n n Q Q 2

11=+ 3、状态表

n Q 3 n Q 2 n Q 1

13+n Q 12

+n Q 11+n Q 0 0 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 1 1 1 1 0 1 1 0 1 1 0 0 1 0 0 1 0 0 0 0 1 0 1 0 1 1 0 1

0 1 0

4、状态图

Q n

3Q n

2Q n

1

000

100

110

010

001011111101

(a ) (b )

5、功能:同步六进制计数器,电路不能自启动。

C 组:

1、用代数法化简:F (A ,B ,C ,D ) = Σm (1,2,6,7,8,9,10,13,14,15)

参考答案:

F=B C D+CD+BC+ABD (或ACD )+A B D(或A B C)

2、用卡若图化简:F (A ,B ,C ,D ) = Σm (2,3,4,5,8,9,14,15)

参考答案:

F=A B C+A B C+ ABC+A B C

3.分析下图逻辑电路图的功能

参考答案: Y=A B +B C +A C

由真值表可以看出,只有当A ,B ,C 三个变量全部相等的时候,输出是1,其余输出是0,这实际上是一个同比较器,即输入变量不等时,输出是1,否则输出是0

4. 试分析图示时序电路,列出它的状态转换真值表,画出状态转换图及相应的输出波形Q 1及Q 2,并说明电路的功能.

οο1J C 11K

ο

1

1J C 11K

ο1

Q 2

Q 1

C P

F F 1F F 2?

5.用74LS138和门电路实现下面多输出函数,画出逻辑电路图。 C B A C B Y ??+?=1

C B C B A C B A Y ?+??+??=2 AC Y =3

6. 用下降沿触发的JK 触发器,设计一个按自然序进行计数的同步七进制加法计数器。 参看教材119页13题

7.(电子专业选作)试用ROM 实现下列函数

参考答案:

ABC C B A C B A C B A Y +++=1CA BC Y +=2ABCD D C AB D C B A D BC A CD B A D C B A Y +++++=3BCD

ACD ABD ABC Y +++=4

D 组:

1、利用公式进行化简(10)

F=AD+A D +AB+A C+BD+ACEF+B EF+DEFG

解: F=AD+A D +AB+A C+BD+ACEF+B EF+DEFG =A+ AB+A C+BD+ACEF+B EF+DEFG =A+A C+BD+B EF+DEFG =A+C+ BD+B EF+DEFG = A+C+ BD+B EF

2、利用卡诺图进行化简(10)

)D C A D C (A B C )(A F +?⊕=

解:)D C A D C (A B C )(A F +?⊕=

=)D C A D C A (B C A ++⊕ =A C +AC+A B C D +A B C D

AB CD

00 01 11 10 00 1 1 0 1 01 1 1 0 0 11 0 0 1 1 10

1

1

1

F=A C + AC+B D

3、用普通机械开关转接电平信号时,在触点接触瞬间常因接触不良而出现“颤抖”现象,如图 (a)所示。为此,常采用图 (b)所示防抖动开关电路。试画出波形Q 和Q ,并从中体会防抖动原理。(10)

精品文档

1

23

4

4

3

21D

C

B

A

Title

Number Revision

Size B Date:10-May-2001

Sheet of File:

D:\t_sd_jd\t_sdn-p74.sch

Drawn By:

(b)

(a)

R

S

S R

Q Q

R S

10K|?10K|?

CC V =+5V

解: 电路的输出波形

Q 和Q 如图所示。 12

3

3

2

1

D C

B

A Title

Number

Size B Date:

22-Oct-2000

Sheet File:

D:\T_sdn\t_sdn-p74-j.sch

Drawn

R

S

Q

Q

4、试写出图示电路的表达式,并画出相应的输出波形。(10)

解: (1)按照题意,写出电路的逻辑表达式: C A B A Y +=

(2)将波形图按照要求写出真值表

A

B C

A B C Y

0 0 1 1 0 1 1 1 1 0 1 1 0 0 1 1 0 1 1 0 0 0 0 0 0 1 0 1 1 0 0 1 0 0 0 0

0 0 0 1 0 0 1 0 1 1 1 1

(3)画出波形图如下:

5、分析如图所示电路,说明电路实现的逻辑功能。(15)

A

B C

Y

&

& & &

解:(1)根据逻辑电路图写出各个输出端Y1、Y2、Y3的逻辑表达式:

A B C F

A B C

Y

&

& & &

Y1 Y2 Y3

AB Y =1,BC Y =2,CA Y =3,AC BC AB Y Y Y Y 321==

(2)将逻辑表达式进行化简,得:

AC BC AB Y Y Y Y 321== ——> CA BC AB Y ++=

(3)根据表达式列出真值表:

A B C Y

0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

0 0 0 1 0 1 1 1

(4)由真值表判断电路的逻辑功能为:

当输入A 、B 、C 中有2个或3个为1时,输出Y 为1,否则输出Y 为0。所以这个电路实际上是一种3人表决用的组合电路:只要有2票或3票同意,表决就通过。

E 组:

将函数化简为最简与或式

1、代数法化简:

2、卡诺图化简:

F(A,B,C,D)=∑m(0,2, 5,7,8,10,11,13)+∑d(3,14,15)

AB

CD F=

D C B BC A AC C B A F +++=

分析下图所示电路的逻辑功能(写出表达式,列真值表,描述功能)。

由边沿触发器构成如下电路,分析触发器F1和F2驱动方程和时钟方程

,说明两个触发器工作原理,试画出图示输入信号作用下Q1Q2的输出波形,设初态Q1Q2=11。

触发器F1 触发器F2

触发器F1:触发器F2:

>C1

1D

>C1

1J

1K

RD

Q1

Q1

Q2

Q2

A

R D

&

≥1

&

&

&

≥1

&

≥1

1

A

B

C

F1

F2 F3 F4 F6

Y2

Y1

F5

用74LS138译码器芯片实现函数,写出最小项表达式,画出74LS138实现的电路图。

ABC B A C B C B A F ++=),,(

计数器设计

(1)以74LS290为核心,添加适当的门,实现N=6计数器,要求列出有效计数状态。

74LS290功能表 0 0 0 01 1 0 0 0 0 01 0 0 1计数计数计数计数

××1 1 ×0 ××× 1 1 ×0 ×0 ×↓×0 0 ×↓0 ××0 ↓×0 ×0 ↓

Q 3 Q 2 Q 1 Q 0R 01 R 02 S 01 S 02 CP 输出输

74LS138

Y 1

Y 2

Y 3

Y 5Y 4

Y 7

Y 6

Y A ST B

ST C

ST 2A 1A 0

A N Q3 Q2 Q1 Q0

计数状态表

(2)以74LS163为核心,添加适当的门,实现12归1计数器(要求用2位8421BCD 码表示计数状态),并简要分析计数器从9→10以及12→1实现原理。

计数器状态 9→10: 计数器状态12→3:

图(a )由555定时器组成的多谐振荡电路,图(b )是555的内部结构图,已知R1=R2=5K Ω,C =1000pF 。

要求: 画出Vo 和Vc 的波形。

74LS163功能表

CP Cr

LD E T E P Q ↑

1

× ×

十位

个位 0

V O

t

V C

t

2/3Vcc

1/3Vcc 图b

图a

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.wendangku.net/doc/3215283995.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术试题及答案题库

《 数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术试题库

数 字 电 子 技 术 2011年7月23日星期六

1 1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。 (2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1, K=1时,Q状态为翻转,即Q= Q’ -------------------------------------------------------------------- 2 : 已知Y=A+AB′+A′B,下列结果中正确的是() (2分) A:Y=A B:Y=B C:Y=A+B D:Y=A′+B′ 您选择的答案: 正确答案: C 知识点:利用公式A+AB′=A和A+A′B=A+B进行化简 -------------------------------------------------------------------- 3 : (1001111)2的等值十进制数是() (2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案: D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 -------------------------------------------------------------------- 4 : 图中为CMOS门电路,其输出为()状态 (2分) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变 -------------------------------------------------------------------- 5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=() (2分) A:A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D3 B:A1′A0′D0

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术试题和答案(题库)

数字电子技术基础试题(一)一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1 2.下列几种TTL电路中,输出端可实现线和功能的电路是()。 A、或非门 B、和非门 C、异或门 D、OC门 3.对CMOS和非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。 图3 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

相关文档
相关文档 最新文档