文档库 最新最全的文档下载
当前位置:文档库 › 三人表决器电路的设计与安装

三人表决器电路的设计与安装

三人表决器电路的设计与安装
三人表决器电路的设计与安装

三人表决器电路的设计与安装

一、实验目的

正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。

二、实验要求

1)判断正确的引脚位置;

2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路;

3)掌握测试仪表仪器检测原件的使用及调整;

4)会根据测试结果分析故障产生的原因;

5)会利用原理图纸,判断具体故障的原因;

6)会根据自己所仿真的电路原理图画出实物装配图。

三、实验所需元件清单如下表所示:

序号种类名称规格型号数量

1 U1 芯片74LS00 1

2 U2 芯片74LS10 1

3 R1、R2、R3 电阻1KΩ 3

4 R4 电阻300Ω 1

5 S1、S2、S3 按钮普通 3

6 LED 发光二极管单色发光二级管 1

四、实验产品所需主要芯片介绍

74LS00芯片是常用的具有四组2输入端的与非门集成电路, 74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图

74LS10管脚排列图

五、三人表决器逻辑电路设计

5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。

设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

根据真值表,我们可以写出输出函数的与或表达式,即: ABC C

AB C B A BC A Y +++=

对上式进行化简,得:BC AC AB Y

++=

将上式变换成与非表达式为:BC

AC AB Y

??=

故,根据输出逻辑表达式,我们可以画出逻辑图为:

5.2、三人表决器电路原理图(仿真图)

我们用发光二极管的状态来表示表决结果通过与否,当发光二级管点亮表示表决结果通过,熄灭表示表决结果不通过。三人A 、B 、C 的表决情况用按钮来实现,按下按钮表示同意,不按表示不同意。

根据上述说明,结合前面的逻辑电路,可得到三人表决器的原理图(仿真图)为:

输入变量

输出变量

A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1

1

1

1

5.3、三人表决器电路的安装与调试

按安装电路图完成电路的组装后,通上+5v电源,按下输入端A、B、C的按钮进行不同的组合,观察发光二极管的亮灭,验证电路的逻辑功能。如果输出结果与输入中的多数一致,则表明电路功能正确,即多数人同意(电路中用“1”表示),表决结果为同意;多数人不同意(电路中用“0”表示),表决结果为不同意。

5.4、三人表决器产品正面实物图及反面焊接图

5.5、三人表决器产品实验现象与结果分析

实验现象:当电路板焊接完成后,通上+5v电源,分别同时按下按钮S1、S2,S2、S3,S1、S3或S1、S2、S3,观察到发光二极管均能点亮,且当分别只按下S1、S2、S3三个按钮中的任意一个时,观察到此时发光二极管均不能点亮。

结果分析:由上述实验现象可知,此电路的逻辑功能正确。

三人表决电路

湘潭大学实验报告 课程名称实用数字电子技术基础 实验名称三人表决电路页数 3 专业网络工程班级2班同组者姓名 组别学号 2015551610 姓名黄伟雄 实验日期2016/5/15 一、实验目的 1.验证三人表决电路的功能。 2.熟悉Quartus Ⅱ的Verilog HDL文本设计流程,掌握组合电路的设计仿 真和硬件测试方法。 二、实验要求 根据实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。 三、实验原理 三人表决电路中,当表决某个提案时,多数人同意,则通过提案;同时有一个人具有一票否决权。若全票否决,也给出显示。 设输入为A、B、C,且A具有否决权,同意用1表示,不同意用0表示。 输出X为1时表示提案通过;Y为1时表示全票否决,则三人表决电路真值表如下: A B C X Y 0 0 0 0 1 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 0

四、实验内容 1.三人表决电路的输入与仿真 利用Quartus Ⅱ完成三人表决电路的文本编辑输入和仿真测试等步骤,给出仿真波形。 2.在实验系统上进行硬件测试,验证此设计的功能。对于引脚锁定以及 硬件下载测试,ABC[2..0]分别接至键3、键2、键1;CLK接至时钟CLOCK0(256Hz),输出信号X接D1,输出信号Y接蜂鸣器。最后进行编译、下载和硬件测试实验(通过按下键3、键2、键1,控制D1的亮灭)。 五、实验环境与设备 在实验室用电脑和实验箱进行实验。 六、实验代码设计(含符号说明) module JG3 (ABC,X,Y); //Input Port(s) input [2:0] ABC; //Output Prot(s) output X,Y; reg X,Y; //Additional Module Item(s) always@(ABC) case(ABC) 3'B000: begin X<=1'B0 ;Y<=1'B1 ; end 3'B001: begin X<=1'B0 ;Y<=1'B0 ; end 3'B010: begin X<=1'B0 ;Y<=1'B0 ; end 3'B011: begin X<=1'B0 ;Y<=1'B0 ; end

2021年三人多数表决电路之欧阳学文创编

实验十九三人多数表决电路的设计 欧阳光明(2021.03.07) 一、设计目的 1、掌握用门电路设计组合逻辑电路的方法。 2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。 3、要求同学们能够根据给定的题目,用几种方法设计电路。 二、设计要求 1、用三种方法设计三人多数表决电路。 2、分析各种方法的优点和缺点。 3、思考四人多数表决电路的设计方法。 要求用三种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。 三、参考电路 设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。 根据题意和以上设定,列逻辑状态表如表19-1。 第4、6、7、8 项。故,表决器的辑逻表达式应是:

从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门关系。因此,作逻辑图如下。 经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题目要求选择合适的器件,并 图19—1 三人表决电路 且画出原理图实现。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱,逻辑笔,万用表及工具; 实验器件:74LS00、74LS20、74LS138、74LS153等。 五、实验报告要求 1、写出具体设计步骤,画出实验线路。 2、根据实验结果分析各种设计方法的优点及使用场合。 实验二十序列脉冲检测器的设计 一、设计目的 1、学习时序逻辑电路的设计与调试方法。 2、了解序列脉冲发生器和序列脉冲检测器的功能区别及设计方法。 二、设计要求及技术指标1、设计一个序列脉冲检测器,当连续输入信号110时,该电路输出为1,否则输出为0。 2、确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较。自拟设计步骤,写出设计过程,选择合适的芯片,完成画出电路图。 3、组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,

三人表决器实验

三人表决器实验 我们实验采取3种输入方式:原理图方式,VHDL方式,VerilogHDL.你可以只看一种.下面我分别一一介绍 三人表决器的功能描述 三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么实验板上L2(黄灯)亮;如果不通过那么实验板上L1(红灯)亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮。 采用原理图设计三人表决器 我们根据三人表决器的直值表,可以通过卡诺图化简可以得到: L2=SW1SW2+SW1SW3+SW2SW3 L1=_L2 那么我们可以在MAX+plusII中用原理图实现上面的三人表决器 ~ 下面仅把和VHDL不同的详细写下,相同或基本相同的就一带而过: (1)打开MAX+plusII (2)新建一个图形文件:File菜单>new 新建文件时选择Graphic Editor file

点OK (3)输入设计文件 我们现在在图形文件中输入电路,我们这个电路需要AND2、OR3、NOT三个逻辑门电路和输入输出端,你可以 Symbol ->Enter Symbol(或者双击空白处) 弹出窗口: %

在Symbol Name中输入and2,点OK 同样可以加入or3、input、output、not 对input、output,鼠标左键双击PIN_NAME,那么PIN_NAME被选中,并且变黑,然后输入你要改的名字,如SW1 把元件拖动到合适位置,将光标放到元件的引线出,可以发现光标变为十字星,此时摁住左键就可以进行连线。

三人多数表决电路之欧阳学文创编之欧阳家百创编

实验十九三人多数表决电路的设计 欧阳家百(2021.03.07) 一、设计目的 1、掌握用门电路设计组合逻辑电路的方法。 2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。 3、要求同学们能够根据给定的题目,用几种方法设计电路。 二、设计要求 1、用三种方法设计三人多数表决电路。 2、分析各种方法的优点和缺点。 3、思考四人多数表决电路的设计方法。 要求用三种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。 三、参考电路 设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。 根据题意和以上设定,列逻辑状态表如表19-1。 4、6、7、8 项。故,表决器的辑逻表达式应是:

从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门关系。因此,作逻辑图如下。 经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题目要求选择合适的器件,并 图19—1 三人表决电路 且画出原理图实现。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱,逻辑笔,万用表及工具; 实验器件:74LS00、74LS20、74LS138、74LS153等。 五、实验报告要求 1、写出具体设计步骤,画出实验线路。 2、根据实验结果分析各种设计方法的优点及使用场合。 实验二十序列脉冲检测器的设计 一、设计目的 1、学习时序逻辑电路的设计与调试方法。 2、了解序列脉冲发生器和序列脉冲检测器的功能区别及设计方法。 二、设计要求及技术指标1、设计一个序列脉冲检测器,当连续输入信号110时,该电路输出为1,否则输出为0。 2、确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较。自拟设计步骤,写出设计过程,选择合适的芯片,完成画出电路图。 3、组成系统。在一定幅面的图纸上合理布局,通常是按信号的流

三人表决器电路的设计与安装

三人表决器电路的设计与安装

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路,74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

根据真值表,我们可以写出输出函数的与或表达式,即: 对上式进行化简,得: 将上式变换成与非表达式为: 故,根据输出逻辑表达式,我们可以画出逻辑图为: 5.2、三人表决器电路原理图(仿真图) 我们用发光二极管的状态来表示表决结果通过与否,当发光二级管点亮表示表决结果通过,熄灭表示表决结果不通过。三人A、B、C的表决情况用按钮来实现,按下按钮表示同意,不按表示不同意。 根据上述说明,结合前面的逻辑电路,可得到三人表决器的原理图(仿真图)为: 5.3、三人表决器电路的安装与调试 按安装电路图完成电路的组装后,通上+5v电源,按下输入端A、B、C 的按钮进行不同的组合,观察发光二极管的亮灭,验证电路的逻辑功能。如果 输出结果与输入中的多数一致,则表明电路功能正确,即多数人同意(电路中 用“1”表示),表决结果为同意;多数人不同意(电路中用“0”表示),表决 结果为不同意。 5.4、三人表决器产品正面实物图及反面焊接图 5.5、三人表决器产品实验现象与结果分析 实验现象:当电路板焊接完成后,通上+5v电源,分别同时按下按钮S1、 S2,S2、S3,S1、S3或S1、S2、S3,观察到发光二极管均能点亮,且当分别只按下

三人表决器实验报告

电子技术与仿真 项目报告 项目名称:三人表决器的制作 专业班级:电气1 2 1 姓名:刘斌 学号: 2 4 指导教师:张琴 江西工业工程职业技术学院电工电子实训中心

一、项目目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片的引脚是什么门电路,可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解电路的构造原理,这样才可以更好学好这门电路的元器件的应用。 二、项目要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)必须得出实验的仿真结果; 7)根据自己所仿真的电路原理图画出实物装配图。 三、项目内容 1、原理图 2、工作原理介绍 电路由74LS08的三个与门电路和74LS32两个或门电路构成,当A、B、C中任意两人按下按钮后,工作电路向74ls08中任意一个与门电路输入端输入两个高电平,输出端Y输入进74ls32任意一个或门电路中;电路只要满足一个条件即输出端有电压输

出;所以发光二极管能点亮。 3、元件清单 4、芯片 ① 74LS08 实物图 引脚图 真值表 元件序号 主要参数 数 量 R1 1K Ω 3 R2 360Ω 1 U1 74LS08 1 U2 74LS32 1 按钮开关 3 发光二极管 1 A B Y 0 0 0 0 1 0 1 0 0 1 1 1

实物图引脚图 真值表 5、电路板安装 A B Y 000 011 101 111

以4人多数表决电路为例论述MULTISIM

理学院 School of Science 综合电路仿真设计选讲 期 末 论 文 学生姓名: 杨金平 学生学号: 所在专业: 201001129 电子信息科学与技术 所在班级: 102 成绩

以4人多数表决电路为例论述MULTISIM 在电路设计中的应用 一,绪论 简介: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 ●通过直观的电路图捕捉环境,轻松设计电路 ●通过交互式SPICE仿真,迅速了解电路行为 ●借助高级电路分析,理解基本设计特征 ●通过一个工具链,无缝地集成电路设计和虚拟测试 ●通过改进、整合设计流程,减少建模错误并缩短上市时间 直观的捕捉和功能强大的仿真 NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。凭借NI Multisim,您可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI LabⅥEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。 特点: NI Multisim软件是一个专门用于电子电路仿真与设计的EDA工具软件。作为 Windows 下运行的个人桌面电子设计工具,NI Multisim 是一个完整的集成化设计环境。NI Multisim计算机仿真与虚拟仪器技术可以很好地解决理论教学与实际动手实验相脱节的这一问题。学员可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。NI Multisim软件绝对是电子学教学的首选软件工具。 直观的图形界面:

三人多数表决电路教学提纲

三人多数表决电路

实验十九三人多数表决电路的设计 一、设计目的 1、掌握用门电路设计组合逻辑电路的方法。 2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。 3、要求同学们能够根据给定的题目,用几种方法设计电路。 二、设计要求 1、用三种方法设计三人多数表决电路。 2、分析各种方法的优点和缺点。 3、思考四人多数表决电路的设计方法。 要求用三种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。 三、参考电路 设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。 根据题意和以上设定,列逻辑状态表如表19-1。 A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 4、6、7、8 项。故,表决器的辑逻表达式应是:

从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门关系。因此,作逻辑图如下。 图19—1 三人表决电路 经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题目要求选择合适的器件,并且画出原理图实现。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱,逻辑笔,万用表及工具; 实验器件:74LS00、74LS20、74LS138、74LS153等。 五、实验报告要求 1、写出具体设计步骤,画出实验线路。 2、根据实验结果分析各种设计方法的优点及使用场合。

人多数表决电路

实验十九三人多数表决电路的设计 一、设计目的 1、掌握用门电路设计组合逻辑电路的方法。 2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。 3、要求同学们能够根据给定的题目,用几种方法设计电路。 二、设计要求 1、用三种方法设计三人多数表决电路。 2、分析各种方法的优点和缺点。 3、思考四人多数表决电路的设计方法。 要求用三种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。 三、参考电路 设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。 根据题意和以上设定,列逻辑状态表如表19-1。 4、6、7、8 项。故,表决器的辑逻表达式应是: 从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门关系。因此,作逻辑图如下。 图19—1 三人表决电路

经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题目要求选择合适的器件,并且画出原理图实现。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱,逻辑笔,万用表及工具; 实验器件:74LS00、74LS20、74LS138、74LS153等。 五、实验报告要求 1、写出具体设计步骤,画出实验线路。 2、根据实验结果分析各种设计方法的优点及使用场合。 实验二十序列脉冲检测器的设计 一、设计目的

1、学习时序逻辑电路的设计与调试方法。 2、了解序列脉冲发生器和序列脉冲检测器的功能区别及设计方法。 二、设计要求及技术指标 1、设计一个序列脉冲检测器,当连续输入信号110时,该电路输出为1,否则输出为0。 2、确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较。自拟设计步骤,写出设计过程,选择合适的芯片,完成画出电路图。 3、组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。 注意:还需设计一个序列脉冲产生器,作为序列脉冲检测器的输入信号。 4、用示波器观察实验中各点电路波形,并与理论值相比较,分析实验结论。 三、设计说明与提示 图20-1串行输入序列脉冲检测器原理框图。它的功能是:对输入信号X 逐位进行检测,若输入序列中出现“110”,当最后的“0”在输入端出现时,输出Z 为“1”;若随后的输出信号序列仍为“110”,则输出端Z 仍为“1”。其他情况下,输出端Z 为“0”。其输入输出关系如下: 时钟CP 输入X 01101110 输出Z 00010001 调试要点: 1、分块调试,即先调试出序列脉冲产生器的电路,再调试序列脉冲检测器的电路。 2、序列脉冲产生器和序列脉冲检测器应保证同步。 脉冲发生器电路的形式很多,为使电路简单化,可以用十进制计数器的最高位作为输出。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱、双踪示波器、逻辑笔,万用表及工具; 实验器件:74LS00、74LS112、74LS290、555定时器和电阻电容若干。 四、设计报告要求 1、画出总体原理图及总电路框图。 2、单元电路分析。 3、测试结果及调试过程中所遇到的故障分析。 实验十一 多路智力抢答装置 CP 图20-1 串行输入序列脉冲检测器原理框图

三人表决器设计

西安电子科技大学 《数字电子技术》课程设计 题目三人表决器设计 学生姓名 专业班级通信工程 学号2011 院(系)信息工程学院 指导教师邓国辉 完成时间 2013年11月29日 手机号码

目录 1课程设计的目的 (1) 2课程设计的任务与要求 (1) 3设计方案与论证 (2) 3.2 表决方案的选择 (2) 3.3显示方案的选择 (3) 4设计原理及功能说明 (3) 4.1 三人表决器的原理框图 (3) 4.2 三人表决器基本原理图 (3) 5单元电路的设计 (4) 5.1 触发电路设计 (4) 5.2 表决电路设计 (5) 5.3 计数部分电路设计 (6) 6硬件的制作与调试 (7) 6.1 硬件的制作 (7) 6.2 硬件的调试 (8) 7总结 (8) 参考文献 (10)

附录1:总体电路原理图 (11) 附录2:元器件清单 (12)

1课程设计的目的 1、记住逻辑代数的基本定律和常用公式; 2、会用公式法和卡诺图法化简逻辑函数; 3、会识别、选购常用电路元、器件,掌握常用电路元器件的检测方法; 4、掌握逻辑门电路的逻辑功能与主要参数的测试和使用方法; 5、能合理利用门电路设计表决器; 6、能熟练掌握电路原理,及时调试和排除故障。 2课程设计的任务与要求 1、熟悉各集成逻辑元件的性能和设置元件的参数。 2、对电路图的原理进行分析,并对原理图进行改良,用仿真软件进行仿真调试,弄清楚电路的工作原理。 3、元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。 4、对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5、学习数字逻辑电路的设计方法。 6、熟知74ls74、74ls08、74HC4075、74ls373各引脚的功能及内部结构。 7、学会使用各集成芯片组成逻辑电路。 8、学会真值表与逻辑表达式及的转换,能根据化简后的逻辑表达式画出逻辑电路。 9、完成“三人表决器”的逻辑设计,及组合电路的设计。

三人表决器电路的设计与安装

电子产品制作与调试 实验报告 报告名称:三人表决器电路的设计与安装专业班级:光伏121 姓名:陈志坚 学号:20120160 指导教师:舒为清 江西工业工程职业技术学院电工电子实训中心

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 序号种类名称规格型号数量 1 U1 芯片74LS00 1 2 U2 芯片74LS10 1 3 R1、R2、R3 电阻1KΩ 3 4 R4 电阻300Ω 1 5 S1、S2、S3 按钮普通 3 6 LED 发光二极管单色发光二级管 1 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路, 74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

三人表决器

项目一:三人表决权器的设计与装配 实训报告 姓名高君 学号 20100962 专业数字电子技术 指导教师林梅 实训时间 9月27日 电子信息工程系 2011-2012学年第一学期 [设计题目] 项目一:三人表决器的设计与装配 [设计要求] 1.熟悉各元件的性能和设置元件的参数。 2.对电路图的原理进行分析,并对原理图进行改良。用Mutisim软件中进行仿真调试,弄清楚电路的工作原理。 3. 元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。

4.对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5.学习数字逻辑电路的设计方法。 6.熟知74LS00D各引脚的功能及内部结构。 7.学会使用74LS00D芯片。 8.学会真值表与逻辑表达式及卡诺图之间的转换,能根据化简后的逻辑表达式画出逻辑电路。 [主要技术参数及指标] 74LS00D芯片两块 Y=AB+BC+AC [设计原理及仿真] 1.1设计原理与思路(示例): 通过输入高低电平来控制发光二极管,高低电平的输入通过按键 来实现,同意则合上按键输入高电平(5V)表示1,不同意则不合上表示输 入低电平(接地)表示0,两人或两人以上同意灯亮否则不亮。 2.1元件清单: 序号元件参数数量 1 电阻R1 2KΩ4个 4 发光二极管1个 5 按钮3个 6 74LS00D芯片2块 2.2 电路真值表 A B C Y 不同意不同意不同意不亮 不同意不同意同意不亮 不同意同意不同意不亮 不同意同意同意灯亮 同意不同意不同意不亮 同意不同意同意灯亮

三人多数表决电路之令狐文艳创作

实验十九三人多数表决电路的设计 令狐文艳 一、设计目的 1、掌握用门电路设计组合逻辑电路的方法。 2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。 3、要求同学们能够根据给定的题目,用几种方法设计电路。 二、设计要求 1、用三种方法设计三人多数表决电路。 2、分析各种方法的优点和缺点。 3、思考四人多数表决电路的设计方法。 要求用三种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。 三、参考电路 设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。 根据题意和以上设定,列逻辑状态表如表19-1。

由逻辑状态表可知,能使输出逻辑为1的只有四项:第4、6、7、8 项。故,表决器的辑逻表达式应是: 从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门关系。因此,作逻辑图如下。 经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题 图19—1 三人表决电路 目要求选择合适的器件,并且画出原理图实现。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱,逻辑笔,万用表及工具; 实验器件:74LS00、74LS20、74LS138、74LS153等。 五、实验报告要求 1、写出具体设计步骤,画出实验线路。 2、根据实验结果分析各种设计方法的优点及使用场合。 实验二十序列脉冲检测器的设计 一、设计目的 1、学习时序逻辑电路的设计与调试方法。 2、了解序列脉冲发生器和序列脉冲检测器的功能区别及设

数字电路——三人表决器

数电设计实验报告 三 人 表 决 器

[设计题目] 三人表决器的设计与装配 [设计要求] 1.熟悉各元件的性能和设置元件的参数。 2.对电路图的原理进行分析,并对原理图进行改良,弄清楚电路的工作原理。 3. 元件安装符合工艺要求,既考虑其性能又应美观整齐。 焊接元件要注意焊点的圆润。 4. 对元件的性能进行评估和替换、用性能和使用范围更 好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5 . 学习数字逻辑电路的设计方法。 6. 熟知74LS138和74LS20芯片各引脚的功能及内部结构。 7. 学会使用74LS138和74LS20芯片。 8. 学会真值表与逻辑表达式及卡诺图之间的转换,能根 据化简后的逻辑表达式画出逻辑电路。 [电路介绍] 三人表决器主要由一个3-8位译码器(74LS138)和2个4输入与非门(74LS20)组成。通过三个按钮接受用户

输入。按钮按下表示同意,不按下表是否决,当没有人按下按钮时,或只有一个人按下按钮时,例如,S1按下,而S2和S0未按下,则红灯亮,绿灯灭,蜂鸣器无声音,表示否决,当有两个人及以上的人按下按钮后,例如,S1和S2按下,则红灯灭,绿灯亮,蜂鸣器发音,表示通过。 [电路原理] [元器件清单]

[电路真值表] 注(1表示同意、灯亮) (0表示不同意、灯不亮)

[PC板及实物图]

[电路的调试:] 电路的结果分析:在焊接是尽可能避免线路的交叉,不要把焊电焊的过大,相邻焊盘绝缘的一定不能连接在一起。 [设计心得体会] 通过这次实验,我从中收获了很多,懂得了对电路的进一步分析,也从而巩固和提高电路的设计能力、元件的选择及检测的能力等,同时也对我们所学的知识的掌握,通过本次实验我学会了逻辑表达式图之间的转换,通过检测电路使我们对电路有了更深的了解. [参考资料]: [1]阎石主编,数字电子技术基础(第五版),高等教育出版社.2005

三人表决器电路设计与装调

项目1三人表决器电路设计与装调 项目要求 在理解各种逻辑关系,掌握门电路的逻辑功能和外部特性的基础上,应用相关集成门电路完成三人表决器的电路设计与装调。 项目目标 熟悉逻辑函数的表示方法与化简方法 理解晶体管的开关特性 了解TTL门电路的内部机构和工作原理 掌握TTL门电路的基本使用方法 了解TTL工作门电路的基本使用方法 了解TTL电路和CMOS电路的基本使用方 掌握逻辑门电路的应用 项目介绍 本项目为三人表决器电路,用集成门电路构成简易型四人抢答器。A、B、C、D为抢答操作按钮开关。任何一个人先将某一开关按下且保持闭合状态,则与其对应的发光二极管(指示灯)被点亮,表示此人抢答成功;而紧随其后的其他开关再被按下,与其对应的发光二极管则不亮。 简单抢答器电路图如图1.1所示。 专题一数制和码制 了解数的进制概念,掌握二进制、八进制、十六进制、十进制的表示方法 掌握二进制与十进制、八进制、十六进制的项目转化 了解码制的概念,掌握几种常见的码制表示方法,并能熟悉运用。

1.1.1数制 主题目标 1、十进制 十进制数是人们熟悉的数制,有0---9是个数制符,它是逢十进位,各位的权是10的幂。例如,2315这个数可以写成 2315=2*102 +3*102+1*101+5*100 任意一个十进制的数可以记作(N)10=∑Ki*10i 2、二进制 二级制数中只有0和1两个数字符号,它是逢二进位,各位的权是2的幂。例如(100101)2 =1*25+0*24+0*23+1*22+0*21+1*20 N位二进制整数可以表达成 (N)2=∑Ki*2i 3、八进制和十六进制 (1)八进制八进制中只有0-7八个数字符号,它逢八进位,各位的权是八的幂。例如(1207)8=1*83+2*82+0*81+7*80 N位八进制正整数的表达式可以写成 (N)8=∑Ki*8i (2)十六进制十六进制有0-9、A、B、C、D、E、F、十六个数字,其中10-15分别用A-F 表示,逢十六进位,各位的权是16的幂。例如 (2C7F)16 =2*163+12*162+7*161+15*160 N位十六进制数的表达式可以写成 (N)16=∑Ki*16i 十六进制可以用字母“H”来表示,例如(2C7F)16 = (2C7F)H 4、不同数制之间的转换 (1)任意进制转换成十进制,通过前面的介绍,分别按公式展开,就是二进制、八进制、十六进制转化成十六进制的结果。 (100101)2 =1*25+0*24+0*23+1*22+0*21+1*20 (1207)8=1*83+2*82+0*81+7*80 (2C7F)16 =2*163+12*162+7*161+15*160 (2)十进制转换成二进制十进制转换成二进制的方法中整数转换和小数转换不同。 将十进制整数转换成二进制数的方法是:连续除以2,直到商为0,每次所得的余数从后向前排列即为转换后的二进制数整数部分,这种方法简称“除2取余法”。按此方法,可用竖式除法表示出上述转换过程。例如,将(302)10转换成二进制的竖式为 302/2 = 151 余0 151/2 = 75 余1

基于51单片机的三人表决器设计课程设计论文

课程设计 学院:电气工程学院 题目:基于51单片机的表决器设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

相关文档
相关文档 最新文档