文档库 最新最全的文档下载
当前位置:文档库 › verilog_hdl_fpga抢答器

verilog_hdl_fpga抢答器

verilog_hdl_fpga抢答器
verilog_hdl_fpga抢答器

FPGA期末结业论文基于FPGA&VerilogHDL的四路抢答器院系:物理与电子学院

专业:电子信息科学与技术

任课教师:

学号:

姓名:

2013年12月

目录

(0)摘要 (2)

(1)引言 (3)

1.1关于课程设计 (3)

1.1.1课程设计目的 (3)

1.1.2课程设计内容 (3)

1.2开发工具简介 (4)

1.2.1 EDA技术 (4)

1.2.2 硬件描述语言-Verilog HDL (4)

1.2.3 Verilog HDL设计流程 (5)

1.2.4 FPGA基本特点 (5)

1.2.5 FPGA工作原理 (5)

(2)概述 (5)

2.1 设计过程 (5)

2.1.1系统设计要求 (5)

2.1.2系统设计方案 (6)

(3)系统设计 (6)

3.1系统组图 (6)

3.2系统主源程序 (8)

(4)仿真综合 (10)

(5)结论 (13)

(6)参考文献 (13)

(7)附录 (13)

0摘要

抢答器是在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判断出抢答者的机器。电子抢答器的中心构造一般都是由抢答器由单片机以及外围电路组成。

本设计是以四路抢答为基本概念。从实际应用出发,利用电子设计自动化( EDA)技术,用可编程逻辑器件设计具有扩充功能的抢答器。它以Verilog HDL硬件描述语言作为平台,结合动手实验而完成的。它的特点是电路简单、制作方便、操作简单、方便、性能可靠,实用于多种智力竞赛活动。本抢答器的电路主要有四部分组成:鉴别锁存电路、FPGA主芯片EP1C3T144C8电路、计分电路以及扫描显示模块的电路,并利用Quartus II工具软件完成了Verilog HDL源程序编写和硬件下载。这个抢答器设计基本上满足了实际比赛应用中的各种需要。在实际中有很大的用途。

关键词:抢答器 Quartus II Verilog HDL EP1C3T144C8

1引言

硬件描述语言 Hardware Description Language 是硬件设计人员和电子设计自动化 EDA 工具之间的界面。其主要目的是用来编写设计文件,建立电子系统行为级的仿真模型。即利用计算机的巨大能力对用 Verilog HDL 或 VHDL 建模的复杂数字逻辑进行仿真,然后再自动综合以生成符合要求且在电路结构上可以实现的数字逻辑网表Netlist,根据型仿真验证无误后用于制造ASIC芯片或写入 EPLD 和 FPGA 器件中。

Verilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。

Verilog HDL就是在用途最广泛的C语言的基础上发展起来的一种件描述语言,它是由GDA(Gateway Design Automation)公司的PhilMoorby在1983年末首创的,最初只设计了一个仿真与验证工具,之后又陆续开发了相关的故障模拟与时序分析工具。1985年Moorby推出它的第三个商用仿真器Verilog-XL,获得了巨大的成功,从而使得Verilog HDL迅速得到推广应用。1989年CADENCE公司收购了GDA公司,使得Verilog HDL成为了该公司的独家专利。1990年CADENCE公司公开发表了Verilog HDL,并成立LVI组织以促进Verilog HDL成为IEEE标准,即IEEE Standard 1364-1995.

Verilog HDL的最大特点就是易学易用,如果有C语言的编程经验,可以在一个较短的时间内很快的学习和掌握,因而可以把Verilog HDL内容安排在与ASIC设计等相关课程内部进行讲授,由于HDL语言本身是专门面向硬件与系统设计的,这样的安排可以使学习者同时获得设计实际电路的经验。

1.1关于课程设计

1.1.1 课程设计目的

理论联系实际,巩固和运用所学课程,提高分析、解决计算机技术实际问题的独立工作能力,通过对一个智力抢答器的设计,进一步加深对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。巩固所学课堂知识,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力。为了进一步了解计算机组成原理与系统结构,深入学习EDA技术,用Verilog HDL语言去控制将会使我们对本专业知识可以更好地掌握。

1.1.2 课程设计的内容

4 设置计分电路,每组开始时设置为6分,抢答后由主持人计分,答对一次加1分,错一次减1分。

1.2开发工具简介

1.2.1 EDA技术

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

1.2.2 硬件描述语言—Verilog HDL

Verilog HDL 是硬件描述语言的一种,用于数字电子系统设计。该语言是 1983 年由 GDA ( GateWay Design Automation )公司的 Phil Moorby 首创的。 Phil Moorby 后来成为 Verilog - XL 的主要设计者和 Cadence 公司( Cadence Design System )的第一个合伙人。在 1984-1985 年间, Phil Moorby 设计出第一个名为 Verilog-XL 的仿真器; 1986 年,他对 Verilog HDL 的发展又一次作出了巨大贡献——提出了用于快速门级仿真的 XL 算法。

随着 Verilog-XL 算法的成功, Verilog HD 语言得到迅速发展。 1989 年,Cadence 公司收购 GDA 公司, Verilog HDL 语言成为了 Cadence 公司的私有财产。1990 年, Cadence 公司决定公开 Verilog HDL 语言,并成立了 OVI ( Open Verilog International )组织,并负责促进 Verilog HDL 语言的发展。基于 Verilog HDL 的优越性, IEEE 于 1995 年制定了 Verilog HDL 的 IEEE 标准,即 Verilog

HDL1364-1995 ; 2001 年发布了 Verilog HDL1364-2001 标准。

1.2.3 Verilog HDL 的设计流程:

1 .文本编辑:用任何文本编辑器都可以进行,也可以用专用的 HDL 编辑环境。通常Verilog HDL 文件保存为 .v 文件。

2 .功能仿真:将文件调入 HDL 仿真软件进行功能仿真,检查逻辑功能是否正确(也叫前仿真,对简单的设计可以跳过这一步,只有在布线完成之后,才进行时序仿真)。

3 .逻辑综合:将源文件调入逻辑综合软件进行综合,即把语言综合成最简的布尔表达式。逻辑综合软件会生成 .edf ( EDIF )的 EDA 工业标准文件。(最好不用 MAX+PLUS II 进行综合,因为只支持 VHDL/Verilog HDL 的子集)

4 .布局布线:将 .edf 文件调入 PLD 厂家提供的软件中进行布线,即把设计好的逻辑安放到 CPLD/FPGA 内。

5 .时序仿真:需要利用在布局布线中获得的精确参数,用仿真软件验证电路的时序(也叫后仿真)。

1.2.4 FPGA基本特点

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有:

1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 2)FPGA 可做其它全定制或半定制ASIC电路的中试样片。

3)FPGA内部有丰富的触发器和I/O引脚。

4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

目前FPGA的品种很多,有XILINX公司的Virtex系列、TI公司的TPC系列、ALTERA公司的Stratix系列等。

1.2.5FPGA的工作原理

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。

加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。

FPGA有多种配置模式:并行主模式为一片FPGA加一片EPROM的方式;主从模式可以支持一片PROM编程多片FPGA;串行模式可以采用串行PROM编程FPGA;外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程。

2概述

2.1设计过程

2.1.1系统设计要求

题目:基于FPGA&VerilogHDL的四路抢答器

本设计的具体要求是:

(1) 设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮。

(2) 电路具有第一抢答信号的鉴别和锁存功能。在主持人按下复位按钮后,若参加者按抢答开关,则该组指示灯亮。此时,电路应具备自锁功能,使别组的抢答开关不起作用。

(3)自锁后,用八段数码管显示抢答者的序号,同时扬声器发出“嘟嘟”并且持续3秒。

(4) 设置计分电路。每组在开始时预置成6,抢答后由主持人计分,答对一次加1,否则减1分。

2.1.2系统设计方案

根据系统设计要求可知,系统的输入信号有:各组的抢答按钮1、2、3、4,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮TA、TB;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口LEDA、LEDB、LEDC、LEDD,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。本系统应具有的功能有:第一抢答信号的鉴别和锁存功能;数码管显示;计分控制。

3系统设计

3.1系统组图

根据设计要求,我们给出如下的抢答器功能的框图:

根据以上的分析,我们可将整个系统分为四个主要模块:抢答鉴别模块;抢答计分模块;显示译码模块;EP1C3T144C8 接口模块。

图1抢答原理图

图2显示蜂鸣原理图

图3EP1C3T144C8 接口原理图

系统的工作原理如下:当主持人按下使能端EN时,抢答器开始工作,1、2、3、4四位抢答者谁最先抢答成功则此选手的台号灯(LED1~LED4)将点亮,并且主持人前的组别显示数码管讲显示出抢答成功者的台号;接下来主持人提问,若回答正确,主持人按加分按钮,抢答积分模块将给对应的组加分,并将组的总分显示在对应的选手计分数码管上。在此过程中。完成第一轮抢答后,主持人清零,接着重新开始,步骤如上。

3.2系统主要Verilog HDL源程序

module QiangDaQi

(clk,inputEn,inputL1,inputL2,inputL3,inputL4,Sig1,Sig2,Sig3,Sig4,Led,Buzzer);

// 一开始时声明有哪些端口

input clk,inputEn,inputL1,inputL2,inputL3,inputL4;//输入口

output Sig1,Sig2,Sig3,Sig4;

output [0:7]Led;

output Buzzer;//输出口

reg Sig1=1'b1,Sig2=1'b1,Sig3=1'b1,Sig4=1'b1;

reg [0:7]Led;

reg Buzzer;//在输出口配置个寄存器,以便运算

reg EnFlat=1'b0;//配置寄存器,EnFlat是表明开始抢答的标志位reg BuClk=1'b0;//BuClk是蜂鸣器的标志位

reg [0:7]BuL=8'd0;//BuL是做蜂鸣器的延时用

always @ (posedge clk)//捕捉时钟

begin

if(inputEn==1'b0)//初始化各按键并开始抢答

begin

EnFlat=1'b1;//初始化各个标志位和参数

Sig1=1'b1;

Sig2=1'b1;

Sig3=1'b1;

Sig4=1'b1;//各个按键对应的LED控制端

Led=8'b11111111;//静态数码管的控制端,有8位

BuClk=1'b0;

Buzzer=1'b1;//蜂鸣器的控制管脚,低电平为发声音end

if(EnFlat==1'b1)//开始抢答

begin

if(inputL1==1'b0)//如果按键1按下

begin

EnFlat=1'b0;//禁止其他选手抢答

Sig1=1'b0;//静态数码管显示序号'1

Led=8'b01101111;'//对应的LED点亮

BuClk=1'b1;//指示蜂鸣器发声

end

else if(inputL2==1'b0)//如果按键2按下

begin

EnFlat=1'b0;//禁止其他选手抢答

Sig2=1'b0;

Led=8'b00011010;

BuClk=1'b1;

end

else if(inputL3==1'b0) //如果按键3按下

begin

EnFlat=1'b0;//禁止其他选手抢答

Sig3=1'b0;

Led=8'b01001010;

BuClk=1'b1;

end

else if(inputL4==1'b0)//如果按键4按下

begin

EnFlat=1'b0;//禁止其他选手抢答

Sig4=1'b0;

Led=8'b01101001;

BuClk=1'b1;

end

end

if(BuClk==1'b1)//当蜂鸣器标志位置1时//进入此蜂鸣器处理程序begin

Buzzer=1'b0;//蜂鸣器发声

BuL = BuL + 8'd1;//延时变量加1

if(BuL==8'd255)//当到达延时的时间时关掉蜂鸣器

begin

BuL=8'd0;//延时变量复位

BuClk=1'b0;//蜂鸣器标志位复位

Buzzer=1'b1;//蜂鸣器停掉

end

end

end

endmodule

4仿真综合

根据以上设计原理,我们进行仿真综合,分别得到如下的结果

图4抢答仿真波形图

图5加减分模块仿真图

图6重置模块

图7I/O引脚的选取

I/O引脚号的名称及其测试波形图都已经在上图中给出,至于其作用程序应经对其作出详细的描述,这里不再一一赘述。

5结论

以FPGA为控制核心设计的抢答器,开发周期短,功能升级方便,整个控制系统所需元件少,集成度较高,具有良好的稳定性和可靠性。经过仿真以及下载到FPGA开发板上测试,此次设计可以公正、准确、直观的判断第一抢答者,并对抢答进行计时,加减操作的计时,并通过LED显示并提示时间,以及复位抢答等功能,同时3个BCD数码管可以正确的显示第一抢答者的组号以及积分信息。同时通过测试验证了软件的按键消抖处理适当,不会产生按键误判断的情况,以及状态机的程序设计方式结构清晰,易于查找程序的错误和进行改进。

参考文献

[1]夏宇闻.Verilog数字系统设计教程(第二版).北京航空航天大学出版社;

[2]EDA先锋工作室,王诚、吴继华等.Altera FPGA/CPLD设计(基础篇).人民邮电出版社;

[3]阎石.数字电子技术基础(第五版).高等教育出版社;

[4]童诗白.模拟电子技术基础(第四版).高等教育出版社;

[5]网络资料.来源百度文库,道客巴巴,新浪爱问等;网址

(https://www.wendangku.net/doc/4712963180.html,;https://www.wendangku.net/doc/4712963180.html,;https://www.wendangku.net/doc/4712963180.html,)。

附录

源代码:

主程序如上所示(略)

抢答模块

begin

if(EnFlat==1'b1)

begin

if(inputL1==1'b0)

begin

EnFlat=1'b0;

answer=3’d1;

Led2=8'hf9;

BuClk=1'b1;

end

else if(inputL2==1'b0)

begin

EnFlat=1'b0;

answer=3’d2;

Led2=8'ha4;

BuClk=1'b1;

end

else if(inputL3==1'b0)

begin

EnFlat=1'b0;

answer=3’d3;

Led2=8'hb0;

BuClk=1'b1;

end

else if(inputL4==1'b0)

begin

EnFlat=1'b0;

answer=3’d4;

Led2=8'h99;

BuClk=1'b1;

end

end

End

加减分数模块

if(answer ==3’d1)//第一组加减分

begin

begin if(add)

score1=score1+1;//当主持人判定选手的回答正确时,按下add键进行加分操作

else if(stu)

score1=score1-1;

//当主持人判定选手的回答错误时,按下stu键进行减分操作end

score=score1;//把第一组的分数赋值给分数寄存器

end

if(answer ==3’d2)//第二组加减分

begin

begin if(add)

score2=score2+1;

//当主持人判定选手的回答正确时,按下add键进行加分操作else if(stu)

score2=score2-1;

//当主持人判定选手的回答错误时,按下stu键进行减分操作

end

score=score2;

end

if(answer ==3’d3)//第三组加减分

begin

begin

if(add)

score3=score3+1;

//当主持人判定选手的回答正确时,按下add键进行加分操作else if(stu)

score3=score3-1;

//当主持人判定选手的回答错误时,按下stu键进行减分操作 end

score=score3;

end

if(answer ==3’d4)//第四组加减分

begin

begin

if(add)

score4=score4+1;

//当主持人判定选手的回答正确时,按下add键进行加分操作 else if(stu)

score4=score4-1;

//当主持人判定选手的回答错误时,按下stu键进行减分操作end

score=score4;

End

倒计时模块

begin

if(EnFlat==1'b1)

begin

if(cnt!=32'd4*******)//计时实现1HZ分频

cnt=cnt+32'd1;

else

begin

cnt=32'd0;

Led1=8'b11111111<<1'b1;//Led1左移一个单位,实现一秒的倒计时

end

end

if(Led1==8'b0)//倒计时结束还没有按键按下,则抢答停止且蜂鸣器响

EnFlat=1'b0;

BuClk=1'b1;

End

蜂鸣器模块

begin

if(BuClk==1'b1)//当蜂鸣器标志位置1时

begin //进入此蜂鸣器处理程序

Buzzer=1'b0;//蜂鸣器发声

BuL = BuL + 8'd1; //延时变量加1

end

if(BuL==8'd255)//当到达延时的时间时关掉蜂鸣器

begin

BuL=8'd0; //延时变量复位

BuClk=1'b0;//蜂鸣器标志位复位

Buzzer=1'b1;//蜂鸣器停掉

end

End

重置模块

if(clr)//按下clr键以后各组参数重置,整个比赛重新开始

begin

EnFlat=1'b1;//重置各个标志位和参数

Led1=8'b11111111;//重置时8个Led灯全亮

Led2=8'b11111111; //选手号静态数码管(数码管为共阳极)的控制端,有8位Led3=8'b11111111//选手分数显示数码管重置;

BuClk=1'b0; //蜂鸣器标志位重置

Buzzer=1'b1;//蜂鸣器的控制管脚重置,低电平为发声音

score1=4’d5;

score2=4’d5;

score3=4’d5;

score4=4’d5;//各组分数重置

answer=8’hff;//分数显示寄存器重置

End

数码显示模块

begin

case(score)

4'h0: Led3 = 8'hc0; //显示0

4'h1: Led3 = 8'hf9; //显示1

4'h2: Led3 = 8'ha4; //显示2

4'h3: Led3 = 8'hb0; //显示3

4'h4: Led3 = 8'h99; //显示4

4'h5: Led3 = 8'h92; //显示5

4'h6: Led3 = 8'h82; //显示6

4'h7: Led3 = 8'hf8; //显示7

4'h8: Led3 = 8'h80; //显示8

4'h9: Led3 = 8'h90; //显示9

4'ha: Led3 = 8'hbf; //显示-

default:Led3 = 8'hff; //不显示endcase

end

endmodule

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

四路抢答器设计

09电子信息工程专业技能训练总结 题目:四路抢答器设计 班级:电子信息工程092班 姓名: 学号: 2012年5月

四路抢答器设计 一、设计要求及方案设计 1.1设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由?9?倒计到?0?时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 的脉冲信号,作为 (6)可用石英晶体振荡器或者555定时器产生频率为1H z 定时计数器的CP信号。

基于FPGA的电子抢答器的程序设计

基于FPGA的电子抢答器的程序设计 摘要 随着科学技术日新月异,文化生活日渐丰富,在各类竞赛、抢答场合电子抢答器已经作为一种工具得到了较为广泛的应用。顾名思义,电子抢答器是一种通过抢答者的指示灯显示、数码显示和警示显示等手段准确、公正、直观地判断出最先获得发言权选手的设备。 此次设计有4组抢答输入,每组设置一个抢答按钮供抢答者使用。电路具有第一抢答信号的鉴别和锁存功能。当第一抢答者按下抢答开关时,该组指示灯亮以示抢答成功。同时,电路也具备自锁功能,保证能够实现在一路成功抢答有效后,其他三路均不能抢答。本设计基于VHDL语言,采用FPGA为控制核心,并结合动手实践完成,具有电路简单、操作方便、灵敏可靠等优点。该四路抢答器使用VHDL硬件描述语言进行编程,分为七个模块:判断模块,锁存模块,转换模块,扫描模块,片选模块,定时报警模块和译码模块。编程完成后,使用QuartersII工具软件进行编译仿真验证。 关键词:VHDL,FPGA,四路抢答器,仿真

目录 1 概述 (1) 1.1 设计背景 (1) 1.2 抢答器现状 (1) 1.3 本论文主要完成的工作 (1) 1.4 设计心得 (2) 2 开发工具简介 (3) 2.1 VHDL语言简介 (3) 2.2 FPGA开发过程与应用 (4) 2.2.1 FPGA发展历程及现状 (4) 2.2.2 FPGA工作原理 (4) 2.2.3 FPGA开发流程 (5) 2.3 Quartus II软件 (6) 3系统设计 (8) 3.1 系统设计要求 (8) 3.2 系统设计方案 (8) 3.2.1 系统硬件设计方案 (8) 3.2.2 系统软件设计方案 (8) 3.3.3 系统原理详述 (10) 4 电路程序设计及仿真 (12) 4.1 抢答锁存模块设计 (12) 4.1.1 VHDL源程序 (12) 4.1.2 抢答锁存电路的模块 (13) 4.2 仿真 (14) 总结 (15) 致谢 (17) 参考文献 (18)

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

基于PLC的六路抢答器系统设计

电气及自动化课程设计报告 题目:基于PLC的六路抢答器系统设计 课程:PLC原理与应用 学生姓名: 学生学号: 年级:14级 专业:自动化 班级:2班

指导教师: 机械与电气工程学院制 2017年6月 目录 1课程设计的任务和要求 (1) 1.1课程设计的任务 (1) 1.2课程设计的要求 (1) 2.PLC控制器的原理与组成 (1) 2.1PLC硬件系统 (1) 2.2PLC工作原理 (3) 2.3六人抢答器基本组成 (4) 2.4六人抢答器工作原理 (4) 3六人抢答器系统设计方案制定 (5) 3.1PLC选型 (5) 3.2六人抢答器系统的I/O口分配 (6) 4六人抢答器系统的软件设计 (7) 4.1PLC编程语言 (7) 4.2抢答器系统程序 (7)

4.2.1主持人控制端 (7) 4.2.2抢答成功与抢答犯规指示灯显示 (9) 4.2.3七段数码管显示 (9) 4.2.4蜂鸣器电路 (11) 5六人抢答器系统程序仿真 (12) 5.1抢答成功仿真 (12) 5.2抢答犯规及抢答超时仿真 (12) 5.3加减分及数码管显示 (13) 5.4抢答超时 (14) 6总结及心得体会 (14) 参考文献 (15)

基于PLC的六路抢答器系统设计 机械与电气工程学院自动化专业 1课程设计的任务和要求 1.1课程设计的任务 使用西门子S7-200PLC编写程序实现六路抢答器的系统设计并使用仿真软件进行其功能的实现。 1.2课程设计的要求 (1)主持人控制功能,具有开始抢答按钮和复位按钮; (2)主持人未按下开始抢答按钮时抢答为违规抢答,违规指示灯亮,蜂鸣器响; (3)抢答延时,超过20S无人抢答时此题作废,蜂鸣器长鸣; (4)抢答成功后,抢答成功指示灯亮,数码管显示抢答成功的队伍编号; (5)在抢答成功后,主持人根据回答的正确与否可以对该队伍进行加减分控制; (6)每次正确抢答时,只有第一位按下抢答按钮的队伍为有效抢答。 2.PLC控制器的原理与组成 2.1PLC硬件系统 可编程控制器,英文称ProgrammableLogicController,简称PLC。PLC是基于电子计算机,且适用于工业现场工作的电控制器。它源于继电控制装置,但它不像继电装置那样,通过电路的物理过程实现控制,而主要靠运行存储于PLC内存中的程序,进行入出信息变换实现控制。PLC基于电子计算机,但并不等同于普通计算机。普遍计算机进行入出信息变换,多只考虑信息本身,信息的入出,只要人机界面好就可以了。而PLC则还要考虑信息入出的可靠性、实时性,以及信息的使用等问题。特别要考虑怎么适应于工业环境,如便于安装,抗干扰等问题[1]。

FPGA四路电子抢答器设计

课程设计报告 专业班级 课程 FPGA/CPLD原理及应用题目四路电子抢答器设计学号 姓名 同组人 成绩 2013年5月

一、设计目的 1.进一步掌握QUARTUSⅡ软件的使用方法; 2.会使用VHDL语言设计小型数字电路系统; 3.掌握应用QUARTUSⅡ软件设计电路的流程; 4.掌握电子抢答器的设计方法。 二、设计要求 1.系统总体设计 (1)设计一个可以容纳四组参赛队进行比赛的电子抢答器。 (2)具有第一抢答信号的鉴别和锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,显示器显示出抢答者的组别。同时,电路处于自锁存状态,使其他组的抢答器按钮不起作用。 (3)具有计时功能。在初始状态时,主持人可以设置答题时间的初始值。在主持人对抢答组别进行确认,并给出倒计时记数开始信号以后,抢答者开始回答问题。此时,显示器从初始值开始倒计时,计到0时停止计数,同时扬声器发出超时警报信号。若参赛者在规定的时间内回答完问题,主持人可以给出计时停止信号,以免扬声器鸣叫。 (4)具有计分功能。在初始状态时,主持人可以给每组设置初始分值。每组抢答完毕后,由主持人打分,答对一次加1分,答错一次减1分。 (5)设置一个系统清除开关,该开关由主持人控制。 (6)具有犯规设置电路。超时抢答者,给予鸣喇叭警示,并显示规范组别。 2.设计方案 系统的输入信号有:各组的抢答按钮A、B、C、D,系统允许抢答信号STA,系统清零信号RST,计分时钟信号CLK,加分按钮端ADD、en,减分端SUB、sta,计时使能端en时钟信号clk,复位rst;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用a1、b1、c1、d1表示,四个组抢答时的计时数码显示控制信号,抢答成功组别显示的控制信号,各组计分显示的控制信号。整个系统至少有三个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块,其他功能模块(输出显示模块)。 3.如图为流程图: 开始→抢答→抢答鉴别→回答→加减分数→显示↑↑ 倒计时倒计时 犯规抢答或抢答后答题时间超时鸣喇叭警告。

基于PLC控制的四路智能抢答器毕业设计

毕业设计 题目基于PLC控制的四路智能抢答器系别电气工程系系 专业电气自动化 班级 姓名 学号 指导教师 日期 2010年12月

设计任务书 设计题目: 基于PLC控制的四路智能抢答器 设计要求: 1.抢答器可同时供4组以下选手参加比赛。 2.给竞赛主持人设置了3个控制按钮,用来控制开始.复位.停止。 3.每当主持人发出开始抢答指令后,选手按下抢答器按钮,则数码管就显示编号,同时绿色指示灯亮,音响电路发出声响提示信号(持续三秒),选手答题完毕后,由主持人按下复位按钮,系统开始下一轮抢答。 4.违规抢答:若选手在未开始抢答时提前抢答了,则视为违规,违规时数码管显示其编号同时红灯亮音响电路发出声响。 5.抢答限时:当主持人按下抢答器按钮时定时器T0开始计时(设定15秒)若15秒限制到时仍无人抢答则黄灯亮音响电路发出声响,以示选手放弃该题。 6.答题限时:在抢答成功后,主持人按下答题计时按钮,同时数码管显示答题倒计时时间,此设定为30秒,选手必须在设定时间内完成答题,否则,音响电路发出超时报警信号。 设计进度要求: 第一周:确定题目,查阅相关资料。 第二周:根据设计要求分析PLC声光智能抢答器的工作原理。 第三周: 收集PLC声光智能抢答器的资料,对硬件进行设计。 第四周:从整体出发对PLC声光智能抢答器软件进行设计。 第五、六周:进行上机调试程序,找出问题,进行修改,并改进设计。 第七、八周:撰写毕业设计论问,进行毕业答辩。 指导教师(签名)

摘要 PLC四路智能抢答器是最简单竞赛抢答系统,具有结构简单、操作方便、安全可靠、造价低、发展前景广阔、功能强大等优点。抢答器广泛用于电视台娱乐性质的竞赛抢答活动,为竞赛增添了刺激性,在精神上丰富了人们的业余生活。抢答器在竞赛中能准确、公正、直观地判断出第1抢答者。通过抢答器的指示灯显示、数码显示和警示蜂鸣等手段指示出第1抢答者。 在PLC的三种编程语言中,梯形图和语句表是PLC最基本的、最常用的编程语言。本次设计是利用PLC(Programmable Logic Controller)对四路智能抢答器进行控制。考虑到只是对四组智能抢答器进行控制,则输入端口需要7个,输出端口需要23个,所以需要有一个CPU226的模块和两个EM222数字量扩展模块,考虑到要实现声光功能需要两个喇叭和四个指示灯。由于抢答时要显示组号和倒计时故还需要三个数码管,以上的器件价格不贵并且容易买到,另外PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用S7-200系列系列的CPU226和数字量扩展模块EM222作为本次设计的PLC控制的四路智能抢答器的主要模块。 关键词:智能抢答,声光显示,PLC,自动控制

基于PLC四路抢答器的设计

四路抢答器的设计 (完整规范) 专业名称:应用电子技术学生姓名: 导师姓名:### 职称 机电工程学院

2012年月 设计题目: 基于PLC四路抢答器的设计 设计要求: 1. 抢答器可同时供四组选手参加比赛 2. 主持人有三个控制按钮,用来控制抢答开始、复位和答题计时的开始。 3.每当主持人发出开始抢答指令后,那组选手最先按下抢答按钮,则数码管1就显示该组的编号,同时绿色指示灯亮,音响电路给出信箱提示信号(持续3S),以指示抢答成功,并对其后的抢答信号不再响应。选手答题完毕后,由主持人按下复位按钮,系统才能开始下一轮抢答。 4.违规抢答:若选手在未开时抢答试题时抢答了,则视为违规,违规时数码管1显示其编号,同时红灯亮,音响电路发出声响。 5.抢答限时:当主持人按下开始按钮后,定时器T0开始计时(设定30S)。若30S时限到仍无人抢答,则黄灯亮、音响电路3发出声响,以示选手放弃该题。 6.答题限时:在抢答成功后,主持人按下答题计时开始按钮,同时数码管2、3上显示答题倒计时时间(该时间设定为50S),选手必须在设定的时间内完成答题。否则,音响电路发出答题超时报警信号 设计进度要求: 第一、二周:确定题目,查阅资料,根据要求分析抢答器的设计、工作原理。 第三、四周:根据工作原理画流程图并编译梯形图,并进行硬件设计。 第五、六周:对软件设计,进行上机调试,找出问题,进行修改,并改进设计。 第七、八周:撰写论文,毕业答辩。 指导教师(签名)

摘要 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要25个,输入端口需要7个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活,维护使用方便等特点。 关键词:智力控制,四路抢答器, PLC

8路抢答器基于fpga解析

基于FPGA的多路数字抢答器的设计 摘要:本文主要介绍了以FPGA为基础的八路数字抢答器的设计,首先对各模块的功能进行分配,此次设计主要有七个模块,依次为分频模块、抢答模块、加减分模块、倒计时模块、设置倒计时模块、蜂鸣器模块和数字显示模块。主持人按下开始键可以实现抢答开始,选手号的显示,加减分模块,积分的显示,积分的重置,并启动倒计时模块;若有选手犯规或者倒计时记到五秒,停止倒计时,开启蜂鸣器,并为进入加减分模块做准备。此次设计程序用Quartus II12.0为软件开发平台,用Verilog语言来编写,使用模块化编程思想,自上向下,通过寄存器变量来控制各个模块的运行。本次设计采用FPGA来增强时序的灵活性,由于FPGA的I/O端口资源丰富,可以在此基础上稍加修改可以增加很多其他功能的抢答器,因此后期可塑性很强,因为核心是FPGA芯片,外围电路比较简单,可靠性强、运算速度高,因此便于维护,并且维护费用低。 关键词:FPGA、抢答器、倒计时、犯规报警、加减分、显示 目录 第一章绪论................. . (2) 第二章 FPGA原理及相关开发工具软件的介绍 (3) 2.1 FPGA的简介..... . (3) 2.1.1 FPGA的发展与趋势......... .. (3) 2.1.2 FPGA的工作原理及基本特点 (4) 2.1.3 FPGA的开发流程 (5) 2.1.4 FPGA的配置... . (5) 2.2 软件介绍............... .. (6) 2.2.1 Verilog HDL的介绍........... .. (6)

2.2.2 Quartus II软件.................... .. (7) 第三章数字抢答器系统设计方案和主要模块 (8) 3.1 功能描述及设计架构...... .. (8) 3.2 抢答器程序流程图以及各模块代码分析 (10) 3.2.1 抢答器程序结构及主程序流程图 (10) 3.2.2 秒分频模块 (15) 3.2.3 倒计时以及倒计时剩5S时报警模块...... 错误!未定义书签。 3.2.4 倒计时显示及倒数计时设置显示模块 (20) 3.2.5 选手号显示及违规报警模块 (26) 3.2.6倒计时设置模块 (30) 3.2.7顶层模块 (35) 3.3 硬件电路 (37) 3.3.1 按键电路图 (38) 3.3.2 数码管显示电路图 (38) 3.3.2 蜂鸣器电路图 (39) 第四章管脚分配及功能 (40) 第五章总结 (41) 参考文献 (418) 第一章绪论 1.1 课题研究背景 随着社会的发展,各种竞赛比赛日益增多,抢答器以它的方便快捷、直观反映首先取得发言权的选手等优点,深受比赛各方的辛睐,市场前景一片大好。另一方面随着电子科技的发展,抢答器的功能以及实现方式也越来越多,产品的可靠性以及准确性也越来越强。能够实现多路抢答器功能的方式有很多种,主要包括前期的数字电路、模拟电路以及数字电路与模拟电路组合的方式,但是这种方

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

数字四路抢答器设计方案

数字四路抢答器设计方案 一、设计任务 设计并制作一个四路抢答器。 二、设计要求 1.抢答器供4人比赛用,分别有A,B,C,D表示。 2.当按下清零开关时电路复位,这时可以进行下一轮比赛。 3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,在LCD数码管上显示, 且蜂呜器发出声音。 注:选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 三、设计方案与总体设计 1.本设计主要由COMS系列数字集成电路CD4511、CD4001、NE555等组成。 2.其工作原理为:接通电源后,将开关拨到”清除”状态,抢答器处于禁止状态,编号显示器不亮;将开关置于“开始”状态,抢答器开始工作。在抢答时,抢答器完成:优先判断、编号锁存、编号显示、蜂呜发音。当一轮抢答之后,如果再次抢答必须再次操作“清除”和“开始”状态开关抢答器才能工作。 四、单元电路设计 1.开关、编码电路 电路中,R1、R2、R3、R4、用于分压,当任一开关按下时,相应的输出为高电平,否则为低电平。电路直接把每个开关对应的BCD8421码中为“1”的隔着二极管连到对应的线上(只有一个为高电平的可省略二极管),其中二极管的作用是防止短路。当某个开关按下时,与它相连的输出线将为高电平,其它输出线为低电平,输出既为这个开关的8421码(BCD码)。 2.编码表 (1)这部分电路要求将编码电路送入8421码,译为十进制数(对应各个抢答者的编号)并驱动七段数码显示管显示出答题者的编号。CD4511是一块BCD-十进制译码/驱动器,并带有锁存埠。其引脚排列图如图所示:

CD4511引脚排列图: (2)显示电路如下: 3.控制电路 (1)这部分电路的作用完成三个任务:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是使其它选手按键操作无效;三是防止提前按键。(2)控制电路图

基于FPGA的四路抢答器的Verilog-HDL代码

module qiangda4(clk,clr,inputEn,add,stu,inputL1,inputL2,inputL3,inputL4,Led1 ,Led2,Led3,Buzzer); // 开始声明各个端口 //输入口 input clk,clr,inputEn,add,stu,inputL1,inputL2,inputL3,inputL4; //输出口 output [0:7] Led1; //倒计时时使用的LED控制端 output [0:7] Led2; //数码管控制端 output [0:7] Led3; //分数显示数码管控制端 output Buzzer; //蜂鸣器 //各个寄存器变量声明 reg [0:7] Led1; reg [0:7] Led2; reg [0:7] Led3; reg cnt=32'b0; reg Buzzer; reg score=4’hf;//分数显示寄存器 //配置寄存器,EnFlat是表明开始抢答的标志位 reg EnFlat=1'b0; //BuClk是蜂鸣器的标志位 reg BuClk=1'b0; //BuL是做蜂鸣器的延时用 reg [0:7]BuL=8'd0; //抢答选手标志位 reg answer=3’d0; //各组分数标志位 reg score1=4’d5; reg score2=4’d5; reg score3=4’d5; reg score4=4’d5; //------------初始化模块--------------- always @ (posedge clk)//捕捉时钟 begin //初始化各按键并开始抢答 begin if(inputEn==1'b0) begin //初始化各个标志位和参数

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

基于PLC四路抢答器的设计毕业设计

毕业设计设计任务书 设计题目: 基于PLC四路抢答器的设计 设计要求: 1. 抢答器可同时供四组选手参加比赛 2. 主持人有三个控制按钮,用来控制抢答开始、复位和答题计时的开始。 3.每当主持人发出开始抢答指令后,那组选手最先按下抢答按钮,则数码管1就显示该组的编号,同时绿色指示灯亮,音响电路给出信箱提示信号(持续3S),以指示抢答成功,并对其后的抢答信号不再响应。选手答题完毕后,由主持人按下复位按钮,系统才能开始下一轮抢答。 4.违规抢答:若选手在未开时抢答试题时抢答了,则视为违规,违规时数码管1显示其编号,同时红灯亮,音响电路发出声响。 5.抢答限时:当主持人按下开始按钮后,定时器T0开始计时(设定30S)。若30S时限到仍无人抢答,则黄灯亮、音响电路3发出声响,以示选手放弃该题。 6.答题限时:在抢答成功后,主持人按下答题计时开始按钮,同时数码管2、3上显示答题倒计时时间(该时间设定为50S),选手必须在设定的时间内完成答题。否则,音响电路发出答题超时报警信号 设计进度要求: 第一、二周:确定题目,查阅资料,根据要求分析抢答器的设计、工作原理。 第三、四周:根据工作原理画流程图并编译梯形图,并进行硬件设计。 第五、六周:对软件设计,进行上机调试,找出问题,进行修改,并改进设计。 第七、八周:撰写论文,毕业答辩。 指导教师(签名)

摘要 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要25个,输入端口需要7个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活,维护使用方便等特点。 关键词:智力控制,四路抢答器, PLC

简易四路抢答器

单片机课程设计 预习报告 班级:建电141 姓名:付鹏鑫 学号:1412032031 设计题目:四位竞赛抢答器系统设计设计时间:2016.01.03~01.07 评定成绩: 评定教师:

目录 摘要 -------------------------------------------------------------------- 错误!未定义书签。 一、任务分析: -------------------------------------------------------------------------------- 3 二、总体方案: -------------------------------------------------------------------------------- 4 2.1 可行方案--------------------------------------------------- 错误!未定义书签。 2.2 方案设计--------------------------------------------------- 错误!未定义书签。 三、硬件设计: -------------------------------------------------------------------------------- 8 3.1 电路原理图 --------------------------------------------------------------------------- 8 1.AT89C51简介 ------------------------------------------------------------------------ 10 2.PCF8591简介 --------------------------------------------------------------------------- 9 3.RESPACK8及RX8简介 (12) 3.2 器件选择--------------------------------------------------- 错误!未定义书签。 四、软件设计: ---------------------------------------------------- 错误!未定义书签。 4.1程序处理流程 --------------------------------------------- 错误!未定义书签。 4.2 程序流程图 ----------------------------------------------- 错误!未定义书签。 4.3 程序介绍--------------------------------------------------- 错误!未定义书签。 五、调试过程: ------------------------------------------------------------------------------ 22 5.1 调试步骤----------------------------------------------------------------------------- 22 六、参考文献: ---------------------------------------------------- 错误!未定义书签。 错误!未定义书签。 摘要:抢答器作为一种工具,已广泛应用于各自智力与知识竞赛场合。本设计是基于C52单片机系统的四路抢答器。考虑到其限时回答功能,

基于PLC的四路抢答器设计报告

基于PLC的四路抢答器设计报告

河南农业大学 《PLC生产实训》 报告 实训题目:基于PLC的智力竞赛抢答器 学院:理学院 班级:电子信息科学与技术一班 组员:相贺鹏、朱泽雷、张少华 指导教师:腾红丽 成绩: 时间:2014 年12 月30 日至2015 年1 月4 日

一、实训目的 《PLC 生产实训》是一门实践课程。本实训是配合《可编程控制器原理及应用》课程教学大纲、要求和课程实施计划展开。通过本课程的实训,使学生在学习了西门子S7-200系列PLC 理论知识的基础上,通过上机编程和程序调试实训,进一步提高S7-200系列PLC 的应用能力;了初步掌握PLC 控制系统的分析、设计能力;掌握PLC 的基本原理和选型应用方法,能够根据设备的工艺过程和控制要求正确选用可编程控制器及配套的常用功能模块。通过实习,要求学生能熟练掌握PLC 的理论知识和实验中所掌握的实践知识,能正确地进行系统设计,并通过实验使学生获得软件设计的初步能力。在实习的全过程中,培养学生勤奋学习、求真、求实的科学品德,培养学生的动手能力、观察能力、查阅文献能力、思维能力、想象能力、表达能力,为今后从事相关的专业技术工作打下基础。 二、实训要求 PLC 实训是对综合应用能力的培养,因此在实训过程中要求能够主动思考,积极参与。 每个同学必须做到的是:根据工艺要求,分析控制要求,设计控制程序,然后再进行 编程、调试,以满足加工所要求的基本工艺过程。 个别有能力的同学可以在原有控制要求的基础上进一步完善相应的控制环节,或者结 合实际(例如根据控制的某些特点),提出合理化建议并编程实现。 三、实训内容 基于PLC 的智力竞赛抢答器,其系统控制流程图如图1所示: 电路

基于FPGA的抢答器毕业设计.

摘要 本文介绍了一种采用EDA技术,在QuartusII工具软件环境下用VHDL语言编写的数码显示8路抢答器的电路组成、设计思路及功能。 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮[1]~[8]表示。设置一个系统清除和抢答控制开关,该开关由主持人控制。抢答器具有锁存与显示功能,即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在七段数码管上显示选手号码。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 系统主芯片采用EP2C35F484C8,由基本时钟发生电路模块,复位电路模块,键盘防抖动模块,键盘扫描模块,数码管驱动模块,报警频率选择模块组成。经编译和仿真所设计的程序,在可编程逻辑器件上下载验证,从而完成抢答器功能。 关键词:抢答器;硬件描述语言;可编程逻辑门阵列;

Abstract This article introduces an EDA technology tools in QuartusII environment using VHDL language digital display 8 answering device circuit design ideas and features. Responder same time for eight players or eight team competition, with eight buttons, respectively [1] ~ [8] said. Setting clear and answer in a system control switch, the switch control by the moderator. Responder has a latch and display, the player press the button, latch the corresponding number, speaker audible prompts, and seven-segment digital tube display in player numbers. Players answer in the implementation of the priority latch, first answer in player numbers has been maintained to host the system cleared. System main chip EP2C35F484C8, from the basic clock circuit module, reset the circuit module, keyboard judder module, the keyboard scan module, a digital control drive module, alarm frequency selection module. The compilation and simulation process is designed, in the programmable logic device to download verification, thus completing the Responder feature. Key words:Responder;Very Hardware Description Language; FPGA;

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

相关文档
相关文档 最新文档