文档库 最新最全的文档下载
当前位置:文档库 › 实验三 三人表决电路

实验三 三人表决电路

实验三   三人表决电路
实验三   三人表决电路

实验三三人表决电路

一、实验目的

1、了解逻辑门电路的组合使用;

2、掌握组合逻辑电路的设计方法。

二、实验内容

一个方案由三人表决,方案是否通过,由少数服从多数决定。用与非门完成。

三、设计过程

1、真值表

2、逻辑表达式

3、卡诺图

4、最简与非表达式

5、实验电路图

6、实验接线图

四、实验设备及实验器件

1、数字电子技术实验箱1台

2、74LS00(四2输入与非门) 1只

3、LED发光二极管(红色) 1只

4、连接导线若干

五、实验电路图及接线图

六、实验结果

七、用74LS151数据选择器来完成该功能

1、选ABC作为MUX的地址变量,按ABC 三个变量的最小项形式变换上面的与或表达式。并确定D0~D7的值。(参考书P93)

2、画出电路图

3、按电路图接线并实验之。

实验3-组合逻辑电路数据选择器实验

南通大学计算机科学与技术学院计算机数字逻辑设计 实验报告书 实验名组合逻辑电路数据选择器实验 班级_____计嵌151_______________ 姓名_____张耀_____________________ 指导教师顾晖 日期 2016-11-03

目录 实验一组合逻辑电路数据选择器实验 (1) 1.实验目的 (1) 2.实验用器件和仪表 (1) 3.实验内容 (1) 4.电路原理图 (1) 5.实验过程及数据记录 (2) 6.实验数据分析与小结 (9) 7.实验心得体会 (9)

实验三组合逻辑电路数据选择器实验 1 实验目的 1. 熟悉集成数据选择器的逻辑功能及测试方法。 2. 学会用集成数据选择器进行逻辑设计。 2 实验用器件和仪表 1、8 选 1 数据选择器 74HC251 1 片 3 实验内容 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用 3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的电路。 4 电路原理图 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用

3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的 电路。 5 实验过程及数据记录 1、基本组合逻辑电路的搭建与测量 用 2 片 74LS00 组成图 3.1 所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

图 3.1 组合逻辑电路 (2)先按图 3.1 写出 Y1、Y2 的逻辑表达式并化简。 Y1==A·B ·A =A + A·B=A + B Y2=B·C ·B·A = A · B+ B ·C (3)图中 A、B、C 接逻辑开关,Y1,Y2 接发光管或逻辑终端电平显示。(4)改变 A、B、C 输入的状态,观测并填表写出 Y1,Y2 的输出状态。 表 3.1 组合电路记录

三人表决电路

湘潭大学实验报告 课程名称实用数字电子技术基础 实验名称三人表决电路页数 3 专业网络工程班级2班同组者姓名 组别学号 2015551610 姓名黄伟雄 实验日期2016/5/15 一、实验目的 1.验证三人表决电路的功能。 2.熟悉Quartus Ⅱ的Verilog HDL文本设计流程,掌握组合电路的设计仿 真和硬件测试方法。 二、实验要求 根据实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。 三、实验原理 三人表决电路中,当表决某个提案时,多数人同意,则通过提案;同时有一个人具有一票否决权。若全票否决,也给出显示。 设输入为A、B、C,且A具有否决权,同意用1表示,不同意用0表示。 输出X为1时表示提案通过;Y为1时表示全票否决,则三人表决电路真值表如下: A B C X Y 0 0 0 0 1 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 0

四、实验内容 1.三人表决电路的输入与仿真 利用Quartus Ⅱ完成三人表决电路的文本编辑输入和仿真测试等步骤,给出仿真波形。 2.在实验系统上进行硬件测试,验证此设计的功能。对于引脚锁定以及 硬件下载测试,ABC[2..0]分别接至键3、键2、键1;CLK接至时钟CLOCK0(256Hz),输出信号X接D1,输出信号Y接蜂鸣器。最后进行编译、下载和硬件测试实验(通过按下键3、键2、键1,控制D1的亮灭)。 五、实验环境与设备 在实验室用电脑和实验箱进行实验。 六、实验代码设计(含符号说明) module JG3 (ABC,X,Y); //Input Port(s) input [2:0] ABC; //Output Prot(s) output X,Y; reg X,Y; //Additional Module Item(s) always@(ABC) case(ABC) 3'B000: begin X<=1'B0 ;Y<=1'B1 ; end 3'B001: begin X<=1'B0 ;Y<=1'B0 ; end 3'B010: begin X<=1'B0 ;Y<=1'B0 ; end 3'B011: begin X<=1'B0 ;Y<=1'B0 ; end

3组合逻辑电路习题解答

3组合逻辑电路习题解答 33 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

实验六 组合逻辑电路的设计与测试

实验六组合逻辑电路的设计与测试 1.实验目的 (1)掌握组合逻辑电路的设计方法; (2)熟悉基本门电路的使用方法。 (3)通过实验,论证所设计的组合逻辑电路的正确性。 2.实验设备与器材 1)数字逻辑电路实验箱,2)万用表,3)集成芯片74LS00二片。 3.预习要求 (1)熟悉组合逻辑电路的设计方法; (2)根据具体实验任务,进行实验电路的设计,写出设计过程,并根据给定的标准器件画出逻辑电路图,准备实验; (3)使用器件的各管脚排列及使用方法。 4.实验原理 数字电路中,就其结构和工作原理而言可分为两大类,即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门;时序逻辑电路输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本单元一般是触发器。 (1)组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。设计组合逻辑电路的一般步骤是: 1)根据逻辑要求,列出真值表; 2)从真值表中写出逻辑表达式; 3)化简逻辑表达式至最简,并选用适当的器件; 4)根据选用的器件,画出逻辑电路图。 逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本。 (2)与非门74LS00芯片介绍 与非门74LS00一块芯片内含有4个互相独立的与非门,每个与非门有二个输入端。其逻辑表达式为Y=AB,逻辑符号及引脚排列如图6-1(a)、(b)所示。 (a)逻辑符号(b)引脚排列 图6-1 74LS20逻辑符号及引脚排列 (3)异或运算的逻辑功能 当某种逻辑关系满足:输入相同输出为“0”,输入相异输出为“1”,这种逻辑关系称为“异或”逻辑关系。 (4)半加器的逻辑功能 在加法运算中,只考虑两个加数本身相加,不考虑由低位来的进位,这种加法器称为半加器。 5.实验内容 (1)用1片74LS00与非门芯片设计实现两输入变量异或运算的异或门电路 要求:设计逻辑电路,按设计电路连接后,接通电源,验证运算逻辑。输入端接逻辑开关输出插口,以提供“0”与“1”电平信号,开关向上,输出逻辑“1”,向下为逻辑“0”;电路的输出端接由LED发光二极管组成的0-1指示器的显示插口,LED亮红色为逻辑“1”,亮绿色为逻辑“0”。接线后检查无误,通电,用万用表直流电压20V档测量输入、输出的对地电压,并观察输出的LED颜色,填入表6-1。

实验三 组合逻辑电路的设计(一)

实验三组合逻辑电路的设计(一) 一、实验目的 1.掌握用SSI器件设计组合逻辑电路的方法; 2.熟悉各种常用MSI组合逻辑电路的功能与使用方法; 3.掌握多片MSI组合逻辑电路的级联、功能扩展; 4.学会使用MSI逻辑器件设计组合电路; 5.培养查找和排除数字电路常见故障的初步能力。 二、实验器件 1.74LS00 四二输入与非门74LS20 双四输入与非门 2.74LS138 三线—八线译码器74LS139 双二线—四线译码器 三、实验原理 组合逻辑电路是最常见的逻辑电路,其特点是在任何时刻电路的输出信号仅取决于该时刻的输入信号,而与信号作用前电路原来所处的状态无关。组合逻辑电路的设计,就是如何根据逻辑功能的要求及器件资源情况,设计出实现该功能的最佳电路。 在采用小规模器件(SSI)进行设计时,通常将函数化简成最简与—或表达式,使其包含的乘积项最少,且每个乘积项所包含的因子数也最少。最后根据所采用的器件的类型进行适当的函数表达式变换,如变换成与非—与非表达式﹑或非—或非表达式﹑与或非表达式及异或表达式等。 在数字系统中,常用的中规模集成器件(MSI)产品有编码器﹑译码器﹑全加器﹑数据选择/分配器﹑数值比较器等。用这些功能器件实现组合逻辑函数,基本采用逻辑函数对比方法。因为每一种中规模集成器件都具有某种确定的逻辑功能,都可以写出其输出和输入关系的逻辑函数表达式。在进行设计时,可以将要实现的逻辑函数表达式进行变换,尽可能变换成与某些中规模集成器件的逻辑函数表达式类似的形式。 下来我们介绍一下使用中小规模器件设计组合逻辑电路的一般方法。 四、组合电路设计原则及其步骤 组合电路的设计是由给定的的逻辑功能要求,设计出实现该功能的逻辑电路,设计过程大致按下列步骤进行: (1)分析设计要求,把用文字描述的形式的设计要求抽象成输入、输出变量的逻辑关系;(2)根据分析出的逻辑关系,通过真值表或其他方式列出逻辑函数表达式; (3)根据题目提供给你的芯片,将逻辑函数化简到所需要的函数式; (4)画出逻辑电路图或电路原理图; 对于MSI组合逻辑电路的设计是以所用MSI个数最少、品种最少,同时MSI间的连线也最少作为最基本的原则。 下面使用中小规模器件我们各举一个例子: 例:用与非门设计三位多数表决器。 步骤:(1)根据真值表写出逻辑表达式: F = AB + BC + AC = AB BC AC (2)画出逻辑图,如图3—1所示

组合逻辑电路实验

实验一基本门电路的功能和特性及组合逻辑电路实验(2学时) 实验目的及要求:掌握常用的集成门电路的逻辑功能与特性;掌握各种门电路的逻辑符号;了解集成电路的外引线排列及其使用方法;学习组合逻辑电路的设计及测试方法。 实验题目:部分TTL门电路逻辑功能验证及组合逻辑电路设计之全加器或全减器。 实验二数值比较器、数据选择器(3学时) 实验目的及要求:掌握数值比较器和数据选择器的逻辑功能;学习组合逻辑电路的设计及测试方法。用7486和7400、7404搭出一位数值比较器,画出其设计逻辑电路图,并验证它的运算;用74153选择器实现多数据表决器,要求3个输入中有2个或3个为1时,输出Y为高电平,否则Y为低电平。画出电路图并简述实现原理。用7400、7404、7432实现该多数表决器。 实验题目:组合逻辑电路设计之数值比较器和数据选择器 实验三计数器的应用(3学时) 实验目的及要求:掌握集成二进制同步计数器74161的逻辑功能;掌握任意进制计数器的构成方法;学习时序逻辑电路的设计及测试方法。用74161搭建一个60进制计数器电路,并将结果输出到7段数码管显示出来,画出其设计逻辑电路图并验证它的功能。 实验题目:时序逻辑电路设计之计数器的应用 74LS00: QUAD 2-INPUT NAND GATE

74LS04: HEX INVERTER 74LS32:Quad 2-Input OR Gates

74LS74: Dual Positive-Edge-Triggered D Flip-Flops with Preset, Clear and Complementary Outputs 74LS153: Dual 4-Input Multiplexer with common select inputs and individual enable inputs 74LS161: Synchronous 4-Bit Binary Counters

实验三 组合逻辑电路教学文案

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图3.1所示。 图3.1 组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.2所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。 图3.2 74LS00引脚排列及内部逻辑结构 2.二四输入与非门74LS20

74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.3所示。它共有两个独立的四输入“与非”门,每个门的构造和逻辑功能相同。 图3.3 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.4所示。它共有四个独立的二输入“异或”门,每个门的构造和逻辑功能相同。 图3.4 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表3.1。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图3.5所示。

三人表决器实验

三人表决器实验 我们实验采取3种输入方式:原理图方式,VHDL方式,VerilogHDL.你可以只看一种.下面我分别一一介绍 三人表决器的功能描述 三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么实验板上L2(黄灯)亮;如果不通过那么实验板上L1(红灯)亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮。 采用原理图设计三人表决器 我们根据三人表决器的直值表,可以通过卡诺图化简可以得到: L2=SW1SW2+SW1SW3+SW2SW3 L1=_L2 那么我们可以在MAX+plusII中用原理图实现上面的三人表决器 ~ 下面仅把和VHDL不同的详细写下,相同或基本相同的就一带而过: (1)打开MAX+plusII (2)新建一个图形文件:File菜单>new 新建文件时选择Graphic Editor file

点OK (3)输入设计文件 我们现在在图形文件中输入电路,我们这个电路需要AND2、OR3、NOT三个逻辑门电路和输入输出端,你可以 Symbol ->Enter Symbol(或者双击空白处) 弹出窗口: %

在Symbol Name中输入and2,点OK 同样可以加入or3、input、output、not 对input、output,鼠标左键双击PIN_NAME,那么PIN_NAME被选中,并且变黑,然后输入你要改的名字,如SW1 把元件拖动到合适位置,将光标放到元件的引线出,可以发现光标变为十字星,此时摁住左键就可以进行连线。

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

第3章组合逻辑电路1

第 3章 组合逻辑电路 逻辑电路按照逻辑功能的不同可分为两大类:一类是组合逻辑电路(简称组合电路), 另一类是时序逻辑电路(简称时序电路)。所谓组合电路是指电路在任一时刻的输出状态只与同一时刻各输入状态的组合有关,而与前一时刻的输出状态无关。组合电路的示意图如图所示。组合逻辑电路的特点: (1) 输出、输入之间没有反馈延迟通路。 (2) 电路中不含记忆元件。 图 组合电路示意图 组合逻辑电路的分析方法和设计方法 (1)3.1.1组合逻辑电路的分析方法 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计 是否合理。 组合逻辑电路的分析步骤如下: (1) 根据已知的逻辑图, 从输入到输出逐级写出逻辑函数表达式。 (2) 利用公式法或卡诺图法化简逻辑函数表达式。 (3) 列真值表, 确定其逻辑功能。 例 1 分析如图所示组合逻辑电路的功能。 解(1) (2)化简 (3) 例真值表:如表3·1所示 图 例 1 的逻辑电路 X 1X 2 X n 12 m 输入信号 输出信号 AC BC AB Y ??=AC BC AB Y ++= A B B C A C Y

表例1的真值表 由表可知,若输入两个或者两个以上的1(或0), 输出Y为1(或0), 此电路在实际应用中可作为多数表决电路使用。 例 2分析如图所示组合逻辑电路的功能。 解(1) 写出如下逻辑表达式: (2) 化简AB Y= 1 AB A Y A Y? = ? = 1 2 B AB B Y Y? = ? = 1 3 B AB AB A Y Y Y? ? = = 3 2 B AB AB A Y? ? = ) ( ) (B AB AB A+ ? + = AB B A+ = B A⊕ =

实验一组合逻辑电路设计

电子信息工程刘晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个邮件优先级区分器。该公司收到有A,B,C,三类邮件,A,类的优先级最高,B类次之,C类最低。邮件到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的邮件同时到达时,对优先级最高的邮件先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验内容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数

实验三 组合逻辑电路

实验三组合逻辑电路

实验三 组合逻辑电路 一、实验目的 1. 通过简单的组合逻辑电路设计与调试,掌握采用小规模(SSI )集成电路设计组合逻辑电路的方法。 2. 用实验验证所设计电路的逻辑功能。 3. 熟悉、掌握各种逻辑门的应用。 二、实验原理 组合逻辑电路是最常见的逻辑电路之一,可以用一些常用的门电路来组合成具有其他功能的门电路。组合逻辑电路在逻辑功能上的特点是任意时刻的输出仅仅取决于该时刻的输入,而与电路过去的状态无关。在电路结构上的特点是只包含门电路,而没有存储(记忆)单元。在使用中、小规模集成电路来设计组合电路时,一般步骤如图3-1所示: 1. 进行逻辑抽象,首先根据设计任务的要求建立输入、输出变量,列出其真 设计要求 真值表 逻辑抽象逻辑表达式 卡诺图 最简逻辑表达式 逻辑电路图 代数法化减 卡诺图法化减

值表。 2. 用卡诺图或代数法化简,求出最简逻辑表达式。 3. 根据简化后的逻辑表达式,画出逻辑电路图。 若已知逻辑电路,欲分析组合电路的逻辑功能,则分析步骤为: 1. 由逻辑电路图写出各输出端的逻辑表达式。 2. 由逻辑表达式列出真值表。 3. 根据真值表进行分析,从而确定电路功能。 组合电路的设计过程是在理想情况下进行的, 即假设一切器件均没有延迟效应。图3-1 组合逻辑电路设计流程图 三、实验仪器及器件 1. EL-ELL-Ⅳ型数字电路实验系统 2. 集成电路芯片:74LS00 1

2 74LS04 74LS86等 四、实验内容及步骤 1. 测试用异或门和与非门组成的半加器的逻辑功能 如果不考虑来自低位的进位而能够实现将两个1位二进制数相加的电路,称为半加器,半加器的符号如图3-2所示。 半加器的逻辑表达式为: AB CO B A B A B A S =⊕=+= 根据半加器的逻辑表达式可知,半加和S 是输入A 、B 的异或,而进位CO 则为输入A 、B 相与,故半加器可用一个集成异或门和二个与非门组成,电路如图3-3所示。 &=1 1 CO S ΣCO A B S CO 图3-2 半加器符号 图3-3 异或门和与非门组成的半加器逻辑电路 在实验仪上用74LS00及74LS86按图3-3 接线,当输入端A 、B 为表3-1所列状态时,测

三人表决器实验报告

电子技术与仿真 项目报告 项目名称:三人表决器的制作 专业班级:电气1 2 1 姓名:刘斌 学号: 2 4 指导教师:张琴 江西工业工程职业技术学院电工电子实训中心

一、项目目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片的引脚是什么门电路,可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解电路的构造原理,这样才可以更好学好这门电路的元器件的应用。 二、项目要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)必须得出实验的仿真结果; 7)根据自己所仿真的电路原理图画出实物装配图。 三、项目内容 1、原理图 2、工作原理介绍 电路由74LS08的三个与门电路和74LS32两个或门电路构成,当A、B、C中任意两人按下按钮后,工作电路向74ls08中任意一个与门电路输入端输入两个高电平,输出端Y输入进74ls32任意一个或门电路中;电路只要满足一个条件即输出端有电压输

出;所以发光二极管能点亮。 3、元件清单 4、芯片 ① 74LS08 实物图 引脚图 真值表 元件序号 主要参数 数 量 R1 1K Ω 3 R2 360Ω 1 U1 74LS08 1 U2 74LS32 1 按钮开关 3 发光二极管 1 A B Y 0 0 0 0 1 0 1 0 0 1 1 1

实物图引脚图 真值表 5、电路板安装 A B Y 000 011 101 111

实验3 组合逻辑电路

实验三组合逻辑电路 一、实验目的 1.掌握组合逻辑电路的设计方法 2.熟悉常用组合逻辑器件的使用方法 3.熟悉用逻辑门电路、74LS138和74LS151进行综合性设计的方法 二、试验设备和器件 设备:数字电子技术试验箱 器件:74LS00,74LS20,74LS86,74LS138,74LS151 三、实验内容 1.实现一位全加器 (1) 按照组合逻辑电路的一般设计步骤,用基本门电路(74LS00,74LS86)实现 一位全加器; (2) 用1片74LS138和1片74LS20实现一位全加器。 2. 设计一个监测信号灯工作状态的逻辑电路,每一组信号灯由红、黄、绿三盏构成,仅有红灯R亮、仅有绿灯G亮、黄灯Y和绿灯G同时亮为正常工作状态,其余为故障状态。故障状态时要发出报警信号。要求用74LS151实现。 (1) 逻辑抽象。红黄绿三盏信号灯的状态为输入变量,分别用R、Y、G表示,并规定灯亮时为1,灭时为0;故障信号为输出变量,用Z表示,并规定正常工作状态下Z为0,发生故障时Z为1; (2) 列真值表于表3-1;

(3) 根据真值表写出用最小项表示的Z的逻辑表达式; (4) 按照逻辑表达式进行电路连接,画出电路连接图,并对电路进行测试。 3. 设计并实现实验指导书中四、3的电话程控系统(选作) 四、实验报告 1.实验预习 (1) 熟练掌握组合逻辑电路的一般设计步骤; (2) 了解74LS00,74LS20,74LS86,74LS138,74LS151的功能表,引脚图和使用注意事项,熟练掌握使用它们实现逻辑函数的方法; (3) 完成实验的预习报告,包括:实验目的、试验设备、布置的实验内容及步骤、原始数据记录表格及设计电路。 2. 实验及数据处理 (1) 根据布置的实验内容认真完成实验中的各项任务,仔细观察实验中的各种现象并加以分析; (2) 完成真值表,记录实验数据并进行分析。 3. 思考题 (1) 3-8线译码器74LS138在正常工作状态下,输入011 ABC 时,哪一个译码输出端为有效电平?由此说明A、B、C中哪一个为高位输入端? (2) 若用74LS138译码器实现数据分配器,应选择74LS138的哪个引脚作为数据分配器的数据输入端? 4.实验的注意事项及主要经验教训

三人表决器电路的设计与安装

三人表决器电路的设计与安装

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 序号种类名称规格型号数量 1 U1 芯片74LS00 1 2 U2 芯片74LS10 1 3 R1、R2、R3 电阻1KΩ 3 4 R4 电阻300Ω 1 5 S1、S2、S3 按钮普通 3 6 LED 发光二极管单色发光二级管 1 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路, 74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

3组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号.与该时刻的输入信号 有关 .与以前的输入信号 无关 。 2.在组合逻辑电路中.当输入信号改变状态时.输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I .输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时.输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时.当输入A 2A 1A 0=001时.输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器.输入信号为两个要比较的一位二进制数.用A 、B 表示.输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ).则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加.并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√.× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险.以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时.输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时.处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中.若输入有4位代码.则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0.当A >B 时输出F =1.则F 表达式是 。

三人多数表决电路教学提纲

三人多数表决电路

实验十九三人多数表决电路的设计 一、设计目的 1、掌握用门电路设计组合逻辑电路的方法。 2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。 3、要求同学们能够根据给定的题目,用几种方法设计电路。 二、设计要求 1、用三种方法设计三人多数表决电路。 2、分析各种方法的优点和缺点。 3、思考四人多数表决电路的设计方法。 要求用三种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。 三、参考电路 设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。 根据题意和以上设定,列逻辑状态表如表19-1。 A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 4、6、7、8 项。故,表决器的辑逻表达式应是:

从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门关系。因此,作逻辑图如下。 图19—1 三人表决电路 经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题目要求选择合适的器件,并且画出原理图实现。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱,逻辑笔,万用表及工具; 实验器件:74LS00、74LS20、74LS138、74LS153等。 五、实验报告要求 1、写出具体设计步骤,画出实验线路。 2、根据实验结果分析各种设计方法的优点及使用场合。

三人表决器设计

西安电子科技大学 《数字电子技术》课程设计 题目三人表决器设计 学生姓名 专业班级通信工程 学号2011 院(系)信息工程学院 指导教师邓国辉 完成时间 2013年11月29日 手机号码

目录 1课程设计的目的 (1) 2课程设计的任务与要求 (1) 3设计方案与论证 (2) 3.2 表决方案的选择 (2) 3.3显示方案的选择 (3) 4设计原理及功能说明 (3) 4.1 三人表决器的原理框图 (3) 4.2 三人表决器基本原理图 (3) 5单元电路的设计 (4) 5.1 触发电路设计 (4) 5.2 表决电路设计 (5) 5.3 计数部分电路设计 (6) 6硬件的制作与调试 (7) 6.1 硬件的制作 (7) 6.2 硬件的调试 (8) 7总结 (8) 参考文献 (10)

附录1:总体电路原理图 (11) 附录2:元器件清单 (12)

1课程设计的目的 1、记住逻辑代数的基本定律和常用公式; 2、会用公式法和卡诺图法化简逻辑函数; 3、会识别、选购常用电路元、器件,掌握常用电路元器件的检测方法; 4、掌握逻辑门电路的逻辑功能与主要参数的测试和使用方法; 5、能合理利用门电路设计表决器; 6、能熟练掌握电路原理,及时调试和排除故障。 2课程设计的任务与要求 1、熟悉各集成逻辑元件的性能和设置元件的参数。 2、对电路图的原理进行分析,并对原理图进行改良,用仿真软件进行仿真调试,弄清楚电路的工作原理。 3、元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。 4、对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5、学习数字逻辑电路的设计方法。 6、熟知74ls74、74ls08、74HC4075、74ls373各引脚的功能及内部结构。 7、学会使用各集成芯片组成逻辑电路。 8、学会真值表与逻辑表达式及的转换,能根据化简后的逻辑表达式画出逻辑电路。 9、完成“三人表决器”的逻辑设计,及组合电路的设计。

实验三组合逻辑电路multisim仿真设计

实验四组合逻辑电路Multisim仿真设计 一、实验目的 1、掌握组合逻辑电路的特点 2、利用逻辑转换仪对组合逻辑电路进行分析与设计 二、实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时候的输出仅仅取决于同一时刻的输入信号的取值组合。 根据电路确定功能,是分析组合逻辑电路的过程,其步骤如下:组合逻辑电路→推导→逻辑表达式→化简→最简表达式→列表→真值表→分析→确定电路功能。 根据要求求解电路,是设计组合逻辑电路的过程,其步骤如下:问题提出→分析→真值表→归纳→逻辑表达式→化简变换→逻辑图。 逻辑转换仪是Multisim中常用的数字逻辑电路分析和设计仪器。 三、仿真例题 1、利用逻辑转换仪对已知逻辑电路进行分析 电路图如下: 图待分析逻辑电路 分析结果如下:

图 逻辑分析仪输出结果 四、思考题 1、设计一个四人表决电路,即如果3人或3人以上同意,则通过;否则被否决。用与非门实现。 解:用ABCD 分别表示四人的表决结果,1表示同意,0表示不同意。则利用逻辑分析仪可以输入如下真值表,并得到如下表达式: L=ACD+ABD+ABC+BCD 图 逻辑分析仪得到的真值表和表达式 得到如下电路图: A B C 14 11 13 1 12 3 210 9 68754图 利用逻辑分析仪得到的与非门设计的表决电路 2、利用逻辑转换仪对下图所示电路进行分析。

XLC1 A B U1A 74LS04D U1B 74LS04D U1C 74LS04D U2A 74LS00D U2B 74LS00D 2 U3A 74LS10D U3B 74LS10D 1 4 3 6 5 7 8 9 10 图 待分析的逻辑电路 解:通过逻辑分析仪可以得到如下结果: 图 逻辑分析仪输出结果 得到逻辑表达式为:L AC BC ABC =++

3组合逻辑电路习题解答

3组合逻辑电路习题解答 0 ( 有些题答案错了 )自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲

相关文档
相关文档 最新文档