文档库 最新最全的文档下载
当前位置:文档库 › 电路中的数字锁相环设计与分析

电路中的数字锁相环设计与分析

电路中的数字锁相环设计与分析随着数字电路技术的发展,数字锁相环(Digital Phase Locked Loop,简称DPLL)被广泛应用于通信、控制、计算机处理器和其他重要的应用场景中。DPLL是一种用于生成一个稳定频率和相位的电路,常用于

将输入信号与参考信号相位对齐。

本文将介绍数字锁相环的基本原理、设计和分析方法。

一、DPLL基本原理

DPLL主要包含两个模块:相位检测器(Phase Detector,PD)和数

字控制振荡器(Numerically Controlled Oscillator,NCO)。PD用于比

较输入信号与参考信号的相位差异,产生输出信号,其中PD的输入信

号要么是信号源本身的时间基准(比如晶振),要么是由信号源提供

的参考信号。NCO根据PD的输出信号控制输出频率和相位,使NCO

的输出信号与PD的输出信号相位差尽可能地接近0。

在DPLL的工作中,PD一般实现采用模左乘法,变化范围为±1。即,如果PD的输入信号与参考信号相位差为负,则输出-1;如果相位

差为正,则输出+1。

NCO的基本功能是产生一个基准频率,并通过一个数字加法器对该频率进行相位修正。NCO的输出频率通过调节一个计数器的计数速度

来控制。计数器的速度由一个数字控制字(Digital Control Word,DCW)控制,DCW的变化会改变NCO的输出频率和相位。

二、DPLL设计与分析

DPLL的性能与PD的阶数、工作频率、参考信号的噪声、NCO的

分辨率等因素有关,因此设计好的DPLL需要进行仿真和分析。以下

是DPLL设计与分析的基本步骤:

1. 确定PD的阶数

PD的阶数一般越高,DPLL的追踪和抑制性能越好,但所需要芯片

面积、功耗、成本等也会相应增加。因此需根据实际需求进行选择。

2. 确定参考频率和工作频率

参考频率应该尽可能的稳定和精确。工作频率与参考频率的比例决

定了NCO的分辨率和相位精度。一般而言,工作频率为参考频率的倍

频较为合适。

3. 确定NCO的分辨率和计数器位数

NCO的分辨率和计数器位数直接影响到DPLL的性能。分辨率和计数器位数越高,DPLL的输出频率和相位精度越高,但需要的芯片面积、功耗、成本也会相应增加。

4. 仿真和分析

完成DPLL的设计后,需要进行仿真和分析。可使用C语言或VHDL语言编写程序,并通过相应的工具进行仿真和分析。常见的指

标包括追踪范围、锁定时间、稳定性、抑制比、相位误差等。

需要注意的是,DPLL的设计需要根据实际应用场景进行优化。例如,在宽带多载波通信中,需要考虑DPLL的多载波抑制性能;在数字信号处理中,需要考虑DPLL的相位误差和滞后比等指标。

三、总结

DPLL是一种可以产生稳定频率和相位的数字电路,广泛应用于通信、控制、计算机处理器和其他重要的应用场景中。DPLL的基本模块包括相位检测器和数字控制振荡器。在进行DPLL的设计与分析时,需要根据实际应用场景选择PD的阶数、参考频率、工作频率、NCO 的分辨率等参数,并进行仿真和分析。

数字锁相环调频发射机电路设计与制作

数字锁相环调频发射机电路设计与制作 一.数字锁相环发射机电路工作原理分析 BA1404对于一般的调频发射已经足够,但是它有一个致命的缺点:没有锁相环电路,容易跑频。因此,对于要求高的地方就不适合了。下面给出基于数字锁相环的调频发射机实现。通常使用的数字锁相环调频发射机都是采用一些专用的高频锁相环电路,如MC145152等。但是这种实现方式的一个缺点就是电路复杂,调试麻烦,因此我们注意到了BH1414-BH1417系列芯片,即相当于BA1404+PLL。 下面介绍BH1415数控调频发射机电路的设计与制作。 1.调频部分电路 图一:BH1415调频发射机电路 由于BH1415控制方式采用串行方式,因此必须要使用到单片机控制。大家也可以采用BH1417+拔码开关的方式(适用于对单片机不熟悉的同学)。 2.控制电路

图二:单片机控制电路 控制部分:选用了89S51单片机作为控制芯片,频率显示部分采用数码管来显示(由于单片机的I/O大部分空闲,建议采用1602LCD显示方式)。 3.功率放大电路《参考BA1404调频发射机电路设计与制作》。 二.制作与调试 1.制作要点:正确的PCB设计是首要条件,高频电路讲究接地,该电路虽然外围元件少,但如果模拟、数字电路布线不合理,干扰很大,此时PCB的设计显得尤为重要,一定要保证数、模分开,一点接地。退耦电容不可少,笔者电路中用到了8颗容量不同的电容。电容值的选取最好不全一样,如102、103、104等。控制板的PCB设计笔者将三极管放在四位数码管下,三极管卧放,再装上数码管,这样看起来比较简洁。 2.调试:配合正确的控制部分,本制作唯一难点在压控部分的调试。常见问题是调不出频率,或者出了频率但不受单片机控制,该类问题主要是压控调试不对,没有锁相。先测试变容二极管上的电压,频率越高,电压越高,调节电感匝距,使得频率设置在108MHz时,电压接近Vcc,频率设置在98MHz时,电压约3 V,频率设置在88MHz时,电压接近0V。如果电压不变,则需要检查电路是否正确,电压变化范围不够宽,则说明变容二极管变容比不够大,这样频率调节范围不能达到满频任意调节。变容二极管建议选用KV1471或则BB910等。 三.参考文献 [1]谢自美,电子线路设计.实验.测试(第二版) 华中科技大学出版社,2001.9 [2]何中庸(译) 高频电路设计与制作,科学出版社2005.4 [3]bh1415芯片手册(网上查找可得)

一种新型PID控制的全数字锁相环的设计与实现.

一种新型PID控制的全数字锁相环的设计与实现 锁相环是一种能够跟踪输入信号相位的闭环自动控制系统,广泛应用于信号处理、时钟同步、倍频、频率综合等领域。它根据输入信号和反馈信号的相位差来调整压控振荡器的输出频率,最终达到输入信号频率和输出信号频率相等,输入信号和输出信号保持恒定的相位差。 传统的PI控制器可以消除稳态误差,保证锁定精度,但是对阻尼有不利影响。在PI控制器中引入微分项可以改善响应速度和阻尼,保证了锁定时间,但不能减少稳态误差,因此本文提出积分分离PID控制,能够大大改善响应时间和阻尼并减少稳态误差,从而保证了锁相精度和锁相时间。 1 电路结构与工作原理 1.1 全数字锁相环电路结构 快速全数字锁相环的系统框图如图1所示。 鉴相器采用JK触发器,该鉴相器结构简单,鉴相范围为±π,能够满足一般工程的需要。由于鉴相器输出的是二值高低脉冲,后需接数字滤波器来平滑其中的起伏,消除噪声和干扰脉冲的影响。一般数字序列滤波器有两种:N 先于M序列滤波器和随机徘徊滤波器,数字滤波器不是环路滤波器,它是无惰性的,加在环路中不影响环路的阶数,仅起到滤噪抗干扰的作用。本文采用随机徘徊滤波器。环路滤波器采用PID控制器,能够很好地控制环路相位校正的速度和精度,相对于文献[1]的PI控制器具有更好的特性。数字压控振荡器采用可变模的分频器。M分频器对输出信号进行分频,以使环路得到相应的倍频信号。 1.2 电路工作原理 鉴相器比较输入信号和输出信号的相位差,产生一误差高低电平脉冲序列pha。该脉冲的宽度和输入、输出信号的相位误差是成比例的。K序列滤波器对相位误差信号进行量化,又可以消除输入信号噪声和干扰脉冲的影响。当pha为高电平时,K序列滤波器对fO进行加计数,当计数器溢出时,一方面向环路滤波器产生一加脉冲i,同时对计数器进行复位,重新计数。相反,当pha 为低电平时,K序列滤波器对fO进行减计数,当计数器减为零时,一方面向环路滤波器产生一减脉冲d,同时对计数器进行复位,重新计数。在一个pha周期内,K序列滤波器产生加减脉冲的综合值,表征了输入信号和输出信号相位误差的大小。由于干扰和噪声的影响是随机的,此时K计数器产生的加减脉冲的概率相等,因此环路具有较强的抗干扰能力。环路滤波器采用了PID控制,所以,数字压控振荡器输出的信号经M分频后,反馈给环路滤波器作为采样信号。环路滤波器在其上升沿对一个pha周期内由K计数器产生的加减脉冲个数进行计数综合、PID计算、并把计数值输出给压控振荡器作为分频因子和寄存器清零操作。在控制过程中,由于把压控振荡器输出的信号M分频后的信号作为环路滤波器的采样信号,因此保证了采样周期和输出信号fout的周期是同步的,这样既保证了逐周波控制,也保证了在压控振荡器的计数开始时赋予其寄存器新的分频计数值。 2 系统结构性能分析

锁相环电路设计

锁相环电路设计 PLL(锁相环)电路原理及设计 在通信机等所使用的振荡电路,其所要求的频率范围要广,且频率的稳定度要高。无论多好的LC振荡电路,其频率的稳定度,都无法与晶体振荡电路比较。但是,晶体振荡器除了可以使用数字电路分频以外,其频率几乎无法改变。如果采用PLL(锁相环)(相位锁栓回路,PhaseLockedLoop)技术,除了可以得到较广的振荡频率范围以外,其频率的稳定度也很高。此一技术常使用于收音机,电视机的调谐电路上,以及CD唱盘上的电路。 一 PLL(锁相环)电路的基本构成 PLL(锁相环)电路的概要 图1所示的为PLL(锁相环)电路的基本方块图。此所使用的基准信号为稳定度很高的晶体振荡电路信号。 此一电路的中心为相位此较器。相位比较器可以将基准信号与VCO (Voltage Controlled Oscillator……电压控制振荡器)的相位比较。如果此两个信号之间有相位差存在时,便会产生相位误差信号输出。 (将VCO的振荡频率与基准频率比较,利用反馈电路的控制,使两者的频率为一致。) 利用此一误差信号,可以控制VCO的振荡频率,使VCO的相位与基准信号的相位(也即是频率)成为一致。 PLL(锁相环)可以使高频率振荡器的频率与基准频率的整数倍的频率相一致。由

于,基准振荡器大多为使用晶体振荡器,因此,高频率振荡器的频率稳定度可以与晶体振荡器相比美。 只要是基准频率的整数倍,便可以得到各种频率的输出。 从图1的PLL(锁相环)基本构成中,可以知道其是由VCO,相位比较器,基准频率振荡器,回路滤波器所构成。在此,假设基准振荡器的频率为fr,VCO的频率为fo。 在此一电路中,假设frgt;fo时,也即是VC0的振荡频率fo比fr低时。此时的相位比较器的输出PD会如图2所示,产生正脉波信号,使VCO的振荡器频率提高。相反地,如果frlt;fo时,会产生负脉波信号。 (此为利用脉波的边缘做二个信号的比较。如果有相位差存在时,便会产生正或负的脉波输出。) 此一PD脉波信号经过回路滤波器(LoopFilter)的积分,便可以得到直流电压VR,可以控制VCO电路。 由于控制电压vr的变化,VCO振荡频率会提高。结果使得fr=f。在f与f的相位成为一致时,PD端子会成为高阻抗状态,使PLL(锁相环)被锁栓(Lock)。 相位比较器的工作原理 此所说明的相位比较器为相位.频率比较器(PFC:Phase-Frequency Comparator)之型式,后述之LSI MC145163P便内藏有此一电路。 此一型式的相位此较器并非只做相位的比较,也即是,并非只做之比较,在频率f不同的场合,也可以做为频率比较器工作原理。 所谓相位差利时△与时间t的关系为

锁相环电路设计

锁相环电路设计 锁相环电路是一种常见的电路设计,它可以用于频率合成、时钟恢复、数字信号处理等领域。锁相环电路的基本原理是通过比较输入信号和参考信号的相位差,控制输出信号的频率和相位,使其与参考信号同步。本文将介绍锁相环电路的基本原理、设计流程和应用。 一、锁相环电路的基本原理 锁相环电路由相位检测器、环路滤波器、控制电压源和振荡器四部分组成。其中,相位检测器用于检测输入信号和参考信号的相位差,环路滤波器用于滤波和放大控制电压,控制电压源用于产生控制电压,振荡器用于产生输出信号。 锁相环电路的工作原理如下:首先,输入信号和参考信号经过相位检测器比较,得到相位差。然后,相位差经过环路滤波器滤波和放大,产生控制电压。控制电压作用于振荡器,调整其频率和相位,使其与参考信号同步。最后,输出信号经过除频器输出所需频率。二、锁相环电路的设计流程 锁相环电路的设计流程包括以下几个步骤: 1. 确定输入信号和参考信号的频率范围和精度要求。 2. 选择合适的相位检测器和环路滤波器,根据输入信号和参考信号

的特性确定其参数。 3. 选择合适的振荡器,根据输出信号的频率和精度要求确定其参数。 4. 设计控制电压源,根据环路滤波器的特性确定其参数。 5. 进行仿真和实验验证,调整参数,优化电路性能。 三、锁相环电路的应用 锁相环电路广泛应用于频率合成、时钟恢复、数字信号处理等领域。以下是几个典型的应用案例: 1. 频率合成器:锁相环电路可以将参考信号的频率倍频或分频,产生所需的输出频率。 2. 时钟恢复器:锁相环电路可以从输入信号中恢复时钟信号,用于数字通信系统中的时钟同步。 3. 数字信号处理:锁相环电路可以用于数字信号的相位同步和频率同步,提高信号质量和可靠性。 四、总结 锁相环电路是一种常见的电路设计,其基本原理是通过比较输入信号和参考信号的相位差,控制输出信号的频率和相位,使其与参考信号同步。锁相环电路的设计流程包括确定输入信号和参考信号的

电路中的数字锁相环设计与分析

电路中的数字锁相环设计与分析随着数字电路技术的发展,数字锁相环(Digital Phase Locked Loop,简称DPLL)被广泛应用于通信、控制、计算机处理器和其他重要的应用场景中。DPLL是一种用于生成一个稳定频率和相位的电路,常用于 将输入信号与参考信号相位对齐。 本文将介绍数字锁相环的基本原理、设计和分析方法。 一、DPLL基本原理 DPLL主要包含两个模块:相位检测器(Phase Detector,PD)和数 字控制振荡器(Numerically Controlled Oscillator,NCO)。PD用于比 较输入信号与参考信号的相位差异,产生输出信号,其中PD的输入信 号要么是信号源本身的时间基准(比如晶振),要么是由信号源提供 的参考信号。NCO根据PD的输出信号控制输出频率和相位,使NCO 的输出信号与PD的输出信号相位差尽可能地接近0。 在DPLL的工作中,PD一般实现采用模左乘法,变化范围为±1。即,如果PD的输入信号与参考信号相位差为负,则输出-1;如果相位 差为正,则输出+1。 NCO的基本功能是产生一个基准频率,并通过一个数字加法器对该频率进行相位修正。NCO的输出频率通过调节一个计数器的计数速度 来控制。计数器的速度由一个数字控制字(Digital Control Word,DCW)控制,DCW的变化会改变NCO的输出频率和相位。 二、DPLL设计与分析

DPLL的性能与PD的阶数、工作频率、参考信号的噪声、NCO的 分辨率等因素有关,因此设计好的DPLL需要进行仿真和分析。以下 是DPLL设计与分析的基本步骤: 1. 确定PD的阶数 PD的阶数一般越高,DPLL的追踪和抑制性能越好,但所需要芯片 面积、功耗、成本等也会相应增加。因此需根据实际需求进行选择。 2. 确定参考频率和工作频率 参考频率应该尽可能的稳定和精确。工作频率与参考频率的比例决 定了NCO的分辨率和相位精度。一般而言,工作频率为参考频率的倍 频较为合适。 3. 确定NCO的分辨率和计数器位数 NCO的分辨率和计数器位数直接影响到DPLL的性能。分辨率和计数器位数越高,DPLL的输出频率和相位精度越高,但需要的芯片面积、功耗、成本也会相应增加。 4. 仿真和分析 完成DPLL的设计后,需要进行仿真和分析。可使用C语言或VHDL语言编写程序,并通过相应的工具进行仿真和分析。常见的指 标包括追踪范围、锁定时间、稳定性、抑制比、相位误差等。

锁相环电路设计

锁相环电路设计:让你的电路更稳定 锁相环(PLL)电路是一种常用的控制电路,可以用来实现频率合成、时钟与信号恢复等功能。在电子设备中,PLL电路的使用非常广泛,因为它能够使电路的频率更加精确、稳定,使电路的性能更优秀。本 文将介绍PLL电路的基本原理、设计方法和应用技巧。 一、PLL电路的基本原理 PLL电路的基本构成包括:相锁环(Phase-Locked Loop,PLL)模块、反馈电路和指令电路。根据反馈信号的不同,又可以将PLL电路 分为:模拟PLL和数字PLL两类。 模拟PLL是指使用模拟电路实现的PLL电路,适用于处理频率较低、波形较简单的信号。数字PLL是把PLL电路中的关键部分数字化,使用数字处理技术实现PLL电路,适用于对高速、复杂信号的处理。 PLL电路的基本原理是通过比较两个不同频率的信号,调节反馈电路的传递函数,使输出信号与参考信号保持同步,最终达到同步稳定 的效果。 二、PLL电路的设计方法 设计PLL电路时需要注意以下几点: 1. 选择适合的锁相范围

锁相范围一般是指锁相环能够自动跟踪的信号频率范围。选择适 合的锁相范围可以使PLL电路更加灵活、稳定。 2. 选择适合的环路带宽和相位裕度 环路带宽是锁相环的工作频带范围,它决定了PLL电路的速度和 稳定性。相位裕度是指锁相环输出信号相位与参考信号相位的差值, 它直接影响锁相环的稳定性。选择适合的环路带宽和相位裕度可以使PLL电路更加稳定、可靠。 3. 选择合适的滤波器 为了降低PLL电路输出信号中的噪声和抖动,需要在反馈电路中 添加合适的滤波器。选择合适的滤波器可以使PLL电路的性能更加优秀。 三、PLL电路的应用技巧 1. 尽量避免信号功率幅度过大或过小 PLL电路对信号功率幅度很敏感,过大或过小的信号功率都会对 PLL电路的稳定性产生不良影响。因此,在设计和应用时,应尽量避免信号功率偏离正常值。 2. 注意环路稳定性 PLL电路不同于普通反馈电路,它需要有信号的引导才能正常工作。因此,需要对PLL电路的环路稳定性进行严格的检测和分析,确保其 稳定性和可靠性。

锁相环的设计

1、模块介绍 1.1 锁相环路基本工作原理 图6-1 锁相环路的基本组成框架 鉴相器(PD ):用以比较i u 、o u 相位, 输出反映相位误差 的电压()D u t 。 环路滤波器(LF ):用以滤除误差信号中的高频分量和噪声,提高系统稳定性。 压控振荡器(VCO ):在()C u t 控制下输出相应频率o f 。 图6-2 o U 与i U 的频率和相位之间的关系 两个正弦信号的频率和相位之间的关系如图6-2所示,若能保证两个信号之间的相位差恒定,则这两个信号的频率必相等。 若i o ωω≠,则称电路处于失锁状态,()i u t 和()o u t 之间产生相位变化,鉴相器输出误差电压()D u t ,它与瞬时误差相位成正比,经过环路滤波,滤除了高频分量和噪声而取出缓慢变化的电压()C u t ,控制VCO 的角频率o ω,去接近i ω。最终使 i o ωω=,相位误差为常数,环路锁定,这时的相位误差称为剩余相位误差或稳态 相位误差。

1. 2 锁相环路的相位模型及性能分析 一、鉴相器(PD) 设压控振荡器的输出电压为 [])(cos )(o 0o om o t t U t u ?ω+= ωo0 是压控振荡器未加控制电压时固有振荡角频率, ?o(t)是以ωo0为参考的瞬时相位, 环路输入电压为)sin()(i im i t U t u ω=, 其相位可改写为)()(i o0o0i o0i t t t t t ?ωωωωω+=-+=, 则()i u t 与()o u t 之间的瞬时相位差为)()()(o i e t t t ???-=, 设鉴相器具有正弦鉴相特性,则[])(sin )(e d D t A t u ?=。 二、压控振荡器(VCO) 在c u = 0 附近,控制特性近似线性: o o 0o c ()()t A u t ωω=+ o rad /(s ) A V ?式中,是控制灵敏度(增益系数),单位 可见压控振荡器是一个理想的积分器,将积分符号用微分算子p =d/d t 的 倒数表示,则得 )()(c o o t u p A t = ? 1. 3 集成锁相环路 按电路构成分类,继承锁相环分为模拟锁相环和数字锁相环;按用途分类,集成锁相环分为通用PLL 和专用PLL 。

大型集成电路中的锁相环设计与应用研究

大型集成电路中的锁相环设计与应用研究 在当今的电子科技发展中,大型集成电路(Integrated Circuit,简称IC)已经成为了电子技术领域中不可或缺的一部分。它们能够将多个电子元件和电路集成在一个芯片上,从而提高了电路的功能性、可靠性和集成度。而锁相环技术则是其中一项涉及的重要技术之一,具有诸多广泛的应用。本文将重点探讨大型集成电路中锁相环设计与应用的研究情况。 一、锁相环的基本原理 锁相环(Phase Locked Loop,简称PLL)是一种重要的时钟和信号处理技术,它可将一个可变频率输入信号与一个参考信号进行锁定和跟踪。而实现PLL的关键部分则是振荡器、锁相环主体和控制电路。 在PLL中,输入信号被分成两条路径:一条直接通道和一条反馈通道。控制电路的目的是要让输出频率与输入参考频率保持同步。其基本原理是:将参考信号经过分频器(即频率除法器)分成低频信号,再将该低频信号与锁相环主体内部的振荡器的电压控制部分连接,使其振荡频率被稳定在一个特定的值上。调整分频器的分频比,再通过反馈通道将锁相环输出的信号加以反馈,就可以做到输入和输出信号频率的相互匹配。因此,PLL可实现对输入信号频率识别、提取和跟踪,输出的频率和相位信息与输入信号匹配,同时可以消除输入信号的噪声。 二、锁相环在集成电路中的应用 随着集成电路技术不断的发展,锁相环已经被广泛应用于各种电子元件和电路设计中。下面就针对几种常见的应用场景,简单介绍一下锁相环在其中的实现原理和优点。 1. 数字时钟系统

数字时钟系统是锁相环中应用最广泛的场景之一,它可以独立运行并且是一个非常低功耗的系统。在数字时钟系统中,PLL用于产生一个平稳可靠的时钟信号,从而使各种数字电路具有同步性,提高电路整体的可靠性和稳定性。此外,数字时钟系统还可以产生多种不同频率的时钟信号,以满足复杂和多样化的电路需求。 2. 电源管理 电源管理也是锁相环应用的另一个重要场景。PLL可以使用高频时钟信号,实现高效直流-直流转换,并达到高转换效率。此外,它还可以快速地响应非常量负载,并在尽可能短的时间内进行电源适应。与传统的电源管理技术相比,这种新型锁相环电源管理技术具有更高的效率和可靠性。 3. 无线电视系统 在无线电视系统中,锁相环可以用来产生比特时钟和取样时钟,并对接收信号进行同步处理,使传输数据的精确度得到提高。同时,它还可以通过锁定发送者和接收者的频率,消除多径干扰、振荡器漂移等干扰因素,从而提高数据通信的可靠性和稳定性。 4. 高速通信链接 在高速通信链接中,锁相环用于产生高精度和稳定的时钟信号,并对接收信号进行时钟恢复和数据捕获,从而实现信号解调和重构。此外,它还可以处理各种噪声和干扰信号,并从中提取有效的信号信息,从而保证通信的可靠性和稳定性。三、锁相环在集成电路中的设计 在不同的应用场景中,锁相环需要进行相应的设计,才能达到最佳的效果。下面就针对锁相环在集成电路中的常见设计问题,进行一些简要的介绍。 1. 振荡器的选择

电路中的数字锁相环与时钟设计与分析

电路中的数字锁相环与时钟设计与分析 数字锁相环(Digital Phase-Locked Loop,简称DPLL)是一种广泛 应用于数字电路设计的重要技术。它能够实现同步、频率和相位的精 确控制,被广泛应用于通信系统、计算机架构以及各类数字信号处理 器等领域。本文将从数字锁相环的原理、时钟设计与分析等角度展开 讨论。 在数字电路中,时钟信号的准确性和稳定性对系统的性能至关重要。而数字锁相环可以通过同步输入信号和参考时钟信号,实现准确的时 钟生成和频率控制。 首先,我们来了解数字锁相环的基本原理。数字锁相环主要由比较器、环形计数器、低通滤波器和数字控制电路等组成。比较器用于将 输入信号与参考时钟信号进行比较,并生成相位差误差信号。环形计 数器根据相位差误差信号来针对性地调整输出时钟相位。低通滤波器 被用来平滑相位差误差信号,并生成控制信号。数字控制电路则根据 控制信号来调整比较器、环形计数器和低通滤波器的工作状态。通过 不断迭代,数字锁相环能够实现输入信号和参考时钟信号的同步。 接下来,我们将重点讨论数字锁相环中的时钟设计与分析。时钟设 计要考虑时钟频率、时钟抖动和时钟偏移等因素。在设计中,可以采 用包络检测技术来抑制时钟抖动,通过积分环路来减小时钟偏移。此外,还可以引入频率捕获环路和相位调节环路来提高时钟系统的性能。 时钟频率是指时钟信号的重复周期,通常以赫兹(Hz)为单位表示。在数字系统设计中,时钟频率要满足系统的时序要求,太低会导致性

能下降,太高则会增加功耗和设计复杂度。因此,在时钟设计中,需 要根据系统需求和资源限制合理选择时钟频率。 时钟抖动是指时钟信号的相位和振幅变化造成的时间摆动。时钟抖 动会对系统的稳定性和数据传输产生影响。为了抑制时钟抖动,可以 采用包络检测技术。其原理是通过比较器将时钟信号与参考时钟信号 进行比较,并提取时钟信号的包络。然后,通过低通滤波器对时钟信 号进行平滑,抑制高频成分,从而减小时钟抖动。 时钟偏移是指时钟信号的相位差与参考时钟信号的差异。它主要由 晶振精度、温度变化和供电电压波动等因素引起。为了减小时钟偏移,可以采用积分环路来对时钟信号进行连续监测和调整。积分环路通过 对相位差误差信号进行积分,提供修正信号,用于调整环形计数器的 输出时钟相位。 除了时钟设计外,还需要进行时钟分析。时钟分析主要包括时钟抖 动分析、时钟漂移分析和时钟相位分析等。时钟抖动分析可以通过测 量时钟信号的峰峰值和频谱特性来进行评估。时钟漂移分析则通过测 量时钟信号的相位偏差随时间的变化来评估。时钟相位分析可以通过 比较时钟信号的相位与参考时钟信号的相位差异来评估。 综上所述,数字锁相环是一种重要的数字电路设计技术。它能够实 现同步、频率和相位的精确控制,被广泛应用于各个领域。在时钟设 计与分析中,需要考虑时钟频率、时钟抖动和时钟偏移等因素,以及 采用适当的技术进行抑制和补偿。通过精确的时钟生成和控制,可以 提高数字系统的性能和可靠性。

全数字锁相环设计

桂林电子科技大学毕业设计(论文)报告用纸第 1 页共27 页引言 锁相的概念是在19世纪30年代提出的,而且很快在电子学和通信领域中获得广泛应用。尽管基本锁相环的从开始出现几乎保持原样,但是使用不同的技术制作及满足不同的应用要求,锁相环的实现对于特定的设计还是蛮大的挑战。 锁相环在通信、雷达、测量和自动化控制等领域应用极为广泛,已经成为各种电子设备中必不可少的基本部件。随着电子技术向数字化方向发展,需要采用数字方式实现信号的锁相处理。锁相环技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环(DPLL)与传统的模拟电路实现的锁相环相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需A/D及D/A转换。随着通讯技术、集成电路技术的飞速发展和系统芯片(SoC)的深入研究,全数字锁相环必然会在其中得到更为广泛的应用。因此,对全数字锁相环的研究和应用得到了越来越多的关注。 传统的数字锁相环系统是希望通过采用具有低通特性的环路滤波器,获得稳定的振荡控制数据。对于高阶全数字锁相环,其数字滤波器常常采用基于DSP 的运算电路。这种结构的锁相环,当环路带宽很窄时,环路滤波器的实现将需要很大的电路量,这给专用集成电路的应用和片上系统SOC(system on chip)的设计带来一定困难。另一种类型的全数字锁相环是采用脉冲序列低通滤波计数电路作为环路滤波器,如随机徘徊序列滤波器、先N后M序列滤波器等。这些电路通过对鉴相模块产生的相位误差脉冲进行计数运算,获得可控振荡器模块的振荡控制参数。由于脉冲序列低通滤波计数方法是一个比较复杂的非线性处理过程,难以进行线性近似,因此,无法采用系统传递函数的分析方法确定锁相环的设计参数。不能实现对高阶数字锁相环性能指标的解藕控制和分析,无法满足较高的应用需求。 由于数字电子技术的迅速发展,尤其是数字计算和信号处理技术在多媒体、自动化、仪器仪表、通讯等领域的广泛应用,用数字电路处理模拟信号的情况日益普遍。所以模拟信号数字化是信息技术的发展趋势,而数字锁相环在其中扮演着重要角色。近年来,随着VLSI技术的发展, 随着大规模、超高速集成电路的飞速发展,数字系统的集成度和逻辑速度越来越高,这使得数字锁相环在数字通信、控制工程及无线电电子学的各个领域中的应用也越来越广泛。数字锁相环路已在数字通信、无线电电子学及电力系统自动化等领域中得到了极为广泛的应用。随着集成电路技术的发展,不仅能够制成频率较高的单片集成锁相环路,而且可以把整个系统集成到一个芯片上去。在基于FPGA的通信电路中,可以把全数字锁相环路作为一个功能模块嵌入FPGA中,构成片内锁相环。

数字锁相环的频率合成器设计

数字锁相环的频率合成器设计摘要: 近几年来,无线通讯获得飞速发展。随着其应用领域的不断扩张,市场对低功耗、低造价、高性能、高集成度的收发机的需要也越来越高。在无线通信收发机中包含一个很重要的模块,频率合成器,它通过产生一系列与参考信号具有同样精度和稳定度的离散信号,为频率转换提供基准的本地震荡信号。频率合成器设计的优劣直接影响到无线通信收发机的性能、成本,故其实现方式一直是一个挑战。而本次课程设计仅考虑方案的实用性,即是实验室环境的局限性以及电子器件的价格等因素。 关键词:数字锁相环,分频,频率合成器 一、选题的背景与意义 随着数字电路技术的发展,数字锁相环在调制解调、频率合成、FM 立体声解码、彩色副载波同步、图象处理等各个方面得到了广泛的应用。数字锁相环不仅吸收了数字电路可靠性高、体积小、价格低等优点,还具有对离散样值的实时处理能力,已成为锁相技术发展的方向。锁相环是一个相位反馈控制系统,在数字锁相环中,由于误差控制信号是离散的数字信号,而不是模拟电压,因而受控的输出电压的改变是离散的而不是连续的。 本文主要介绍了仿真技术的概念、特点、发展情况及其在控制系统的应用;分析了MATLAB/SIMULINK的功能及如何在MATLAB语言提供的仿真环境SIMULINK 下实行控制系统的仿真,并对数字锁相环进行仿真。利用计算机对控制系统进行仿真与分析,是研究控制系统的重要手段;MATLAB软件、MCGS组态软件可成功地用于控制系统的仿真、分析及监控,在科研、生产和教学等领域具有广泛的应用前景和推广价值,从上面两方面看来,本课题数字锁相环技术的 matlab/simulink仿真具有一定的研究价值。

锁相环实验报告

锁相环实验报告 锁相环实验报告 引言: 锁相环(Phase-Locked Loop,简称PLL)是一种常见的电子系统控制技术,广 泛应用于通信、测量、信号处理等领域。本实验旨在通过设计和搭建一个基本 的锁相环电路,深入理解锁相环的原理和应用。 一、实验目的 本实验的主要目的是通过搭建锁相环电路,实现对输入信号的频率、相位的跟 踪和稳定。具体目标包括: 1. 理解锁相环的基本原理和工作方式; 2. 学会设计和搭建基本的锁相环电路; 3. 通过实验验证锁相环的频率和相位跟踪性能。 二、实验原理 1. 锁相环的基本原理 锁相环是一种反馈控制系统,由相位比较器、低通滤波器、电压控制振荡器(Voltage Controlled Oscillator,简称VCO)和分频器组成。其基本原理如下:(1)相位比较器:将输入信号和VCO输出信号进行相位比较,输出相位误差 信号; (2)低通滤波器:对相位误差信号进行滤波,得到控制量; (3)VCO:根据控制量调整输出频率,使其与输入信号保持相位同步; (4)分频器:将VCO输出信号分频后反馈给相位比较器,形成闭环控制。 2. 锁相环的应用

锁相环广泛应用于频率合成、时钟恢复、频率/相位调制解调等领域。例如,在 通信系统中,锁相环常用于时钟恢复电路,保证数据传输的稳定性和可靠性。 三、实验内容与步骤 1. 实验器材与元件准备 (1)信号发生器:产生待测频率的正弦信号; (2)锁相环芯片:如CD4046、PLL565等; (3)电阻、电容等元件:用于搭建锁相环电路; (4)示波器:用于观测和分析实验结果。 2. 搭建锁相环电路 根据锁相环的基本原理和实验要求,设计和搭建一个简单的锁相环电路。电路 中包括相位比较器、低通滤波器、VCO和分频器等模块,并连接好电源和地线。 3. 实验操作步骤 (1)将信号发生器的输出信号接入锁相环电路的输入端; (2)调节信号发生器的频率,观察锁相环的跟踪效果; (3)通过示波器观察锁相环输出信号的频率和相位稳定性。 四、实验结果与分析 通过实验观察和数据分析,得出以下结论: 1. 锁相环能够实现对输入信号的频率和相位的跟踪和稳定; 2. 当输入信号频率发生变化时,锁相环能够自动调整VCO输出频率,使其与输入信号保持同步; 3. 锁相环的跟踪性能受到电路参数的影响,如相位比较器的增益、低通滤波器 的带宽等。

基于FPGA的全数字锁相环设计与实现

基于FPGA的全数字锁相环设计与实现 一、前言 全数字锁相环(Digital Phase-Locked Loop,简称DPLL)是一种数字电路设计 技术,可实现同步数字信号的调制和解调。基于FPGA的全数字锁相环设计与实现,是一个极为重要的课题。它可以有效地提高数字电路的性能,使得数字系统具有更优越的特性,并可广泛应用于数字电路的设计、数字信号的处理等领域。 二、DPLL 的体系结构 DPLL是由相频检测器、滤波器、数字控制振荡器和时钟输出等多个部分组成的。其中,相频检测器、滤波器和数字控制振荡器通常被集成到FPGA的内部, 而时钟输出则需要通过FPGA的普通I/O口与市场上常见的外部输出设备相结合。三、数字锁相环的工作原理 数字锁相环的工作原理基于一个反馈循环系统,其中参考振荡器的频率与输入 信号会被比较,然后通过差错检测网络来确定缺陷。如果这些信号频率不匹配,则通过调整数字控制振荡器的频率来达到匹配。然后,系统会根据输出信号和参考信号的相位差异来调整数字控制振荡器的频率,并通过PLL的反馈路径传输至输入端,进而得到和参考信号相同频率的输出信号。 四、数字锁相环的应用 数字锁相环在通信领域有着广泛的应用,如数据码隆、数字调制、同步检测等;在数字领域,数字锁相环主要应用于数字信号处理、频谱分析、信噪比提高等方面;在电子仪器领域,数字锁相环可以被应用于测量领域、噪声分析、频率合成等方面。 五、基于FPGA的数字锁相环的设计

数字锁相环的设计是一项非常复杂的工作,其中需要解决的问题主要有相频检测、低通滤波、数字控制振荡器的设计和时钟输出等方面。在基于FPGA的数字锁相环设计过程中,可以采用很多不同的方法和技术来解决这些问题。 在数字锁相环的设计中,相频检测器是极其关键的部分,其主要功能是检测输入信号与数字控制振荡器的频率是否匹配。其中,相频检测器常用的方式有两种:一是通过比较输入信号和数字控制振荡器的频率来实现;二是通过测量输入信号和数字控制振荡器的相位差来实现。在选择不同的相频检测器时,需要根据具体的应用需求来进行优化。 在设计阶段,数字低通滤波器也是非常重要的。低通滤波器主要用于滤除输入信号中的高频噪声,以保证数字控制振荡器输出的频率稳定。选用的滤波器可以是FIR滤波器或IIR滤波器,其中FIR滤波器的主要特点是不会存在稳态误差和相位漂移现象,而IIR滤波器则更为简单。因此,在设计阶段需要深入研究这两种滤波器的优缺点,从而决定哪一种更加适合所需的应用场景。 数字控制振荡器的设计同样是锁相环设计中不可缺失的部分。当某些特殊应用需要输出非整数倍的频率时,数字控制振荡器就变得尤为重要。这时,设计人员需要仔细考虑数字控制振荡器的相关参数和结构,以实现电路的最佳性能。 最后,时钟输出是数字锁相环的输出信号。时钟输出需要在FPGA的普通I/O 口的帮助下,通过输入与输出结构的接口连接到市场上常见的外部输出设备。在时钟输出时,需要考虑输出频率、输出位数、输出电平等因素,以确保数字锁相环能够正常工作,并得到稳定的输出。 六、总结 基于FPGA的数字锁相环设计与实现,是一个十分重要的课题,其应用范围十分广泛。在锁相环的设计过程中,需要考虑很多的因素,如相频检测、低通滤波、数字控制振荡器的设计和时钟输出等方面。只有经过深入的研究和配合实际应用需

锁相环电路设计

=临的((玛—%"+[8&)-缶(加 〔8-4-3〕 1. 锁相环的根本组成 许多电子设备要正常工作,通常需要外部的 输入信号与部的振荡信号同步,利用锁相环 路就可以实现这个目的。 锁相环路是一种反应控制电路,简称锁相环 〔PLL 〕。 锁相环的特点是:利用外部输入的参考信号控制环路 部振荡信号的频率和相位。 因锁相环可以实现输出信号频率对输入信号频率的自动跟踪,所以锁相环通常用于闭环跟踪电 路。锁相环在工作的过程中, 当输出信号的频率与输入信号的频率相等时, 输出电压与输入电压 保持固定的相位差值,即输出电压与输入电压的相位被锁住,这就是锁相环名称的由来。 锁相环通常由鉴相器〔PD 〕、环路滤波器〔LF 〕和压控振荡器〔VCO 〕三局部组成,锁相环组 成的原理框图如图 8-4-1所示。 锁相环中的鉴相器又称为相位比拟器, 它的作用是检测输入信号和输出信号的相位差, 并将检测 出的相位差信号转换成 U D 〔t 〕电压信号输出,该信号经低通滤波器滤波后形成压控振荡器的控 制电压u c 〔t 〕,对振荡器输出信号的频率实施控制。 2. 锁相环的工作原理 锁相环中的鉴相器通常由模拟乘法器组成,利用模拟乘法器组成的鉴相器电路如图 8-4-2所示。 鉴相器的工作原理是:设外界输入的信号电压和压控振荡器输出的信号电压分别为: 「841〕 I 8-4-1 J 、■'一:"卜"I 8 4 2〕 I 8-4-2 J 式中的O0为压控振荡器在输入控制电压为零或为直流电压时的振荡角频率,称为电路的固有振 荡角频率。那么模拟乘法器的输出电压 U D 为: 匕二趴(£)u 0(r )=叫丁皿sin [呜f + A (』)]匚。[财 M 但] ;ERg 洒[辱+眼)+财+岛W ] 血{[畔 + (£)] - + 吊(W)D 用低通滤波器LF 将上式中的和频分量滤掉, 剩下的差频分量作为压控振荡器的输入控制电压 u c 〔t 〕。即 u c 〔t 〕为: 湖H 呷+岛 Q )]-[端+乱(力]) 式中的3为输入信号的瞬时振荡角频率,e i 〔t 〕和GO 〔t 〕分别为输入信号和输出信号的瞬时位 相,根据相量的关系可得瞬时频率和瞬时位相的关系为:锁相环的原理 2007-01-23 00:24

全数字锁相环的设计

全数字锁相环的设计-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

全数字锁相环的设计 锁相环(PLL)技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环(DPLL)与传统的模拟电路实现的PLL相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需A/D及D/A转换。随着通讯技术、集成电路技术的飞速发展和系统芯片(SoC)的深入研究,DPLL必然会在其中得到更为广泛的应用。 这里介绍一种采用VERILOG硬件描述语言设计DPLL的方案。 DPLL结构及工作原理 一阶DPLL的基本结构如图1所示。主要由鉴相器、K变模可逆计数器、脉冲加减电路和除N计数器四部分构成。K变模计数器和脉冲加减电路的时钟分别为Mfc和2Nfc。这里fc是环路中心频率,一般情况下M和N都是2的整数幂。本设计中两个时钟使用相同的系统时钟信号。 图1 数字锁相环基本结构图 鉴相器 常用的鉴相器有两种类型:异或门(XOR)鉴相器和边沿控制鉴相器(ECPD),本设计中采用异或门(XOR)鉴相器。异或门鉴相器比较输入信号Fin相位和输出信号Fout相位之间的相位差Фe=Фin-Фout,并输出误差信号Se作为K变模可逆计数器的计数方向信号。环路锁定时,Se为一占空比50%的方波,此时的绝对相为差为90°。因此异或门鉴相器相位差极限为±90°。异或门鉴相器工作波形如图2所示。

图2 异或门鉴相器在环路锁定及极限相位差下的波形 K变模可逆计数器 K变模可逆计数器消除了鉴相器输出的相位差信号Se中的高频成分,保证环路的性能稳定。K变模可逆计数器根据相差信号Se来进行加减运算。当Se 为低电平时,计数器进行加运算,如果相加的结果达到预设的模值,则输出一个进位脉冲信号CARRY给脉冲加减电路;当Se为高电平时,计数器进行减运算,如果结果为零,则输出一个借位脉冲信号BORROW给脉冲加减电路。 脉冲加减电路 脉冲加减电路实现了对输入信号频率和相位的跟踪和调整,最终使输出信号锁定在输入信号的频率和信号上,工作波形如图3所示。 图3 脉冲加减电路工作波形 除N计数器

数字锁相环的FPGA设计与实现-课设

1.设计要求 利用MAX PLUSII软件工具,设计一个全数字锁相环路,通过它从19.2k的信号中提取同步信号。本地源时钟为11.0592MHz。 要求实现的功能: a当远端信号(方波)的占空比分别为1:1、1:3、1:5和1:7时,从其中提取同步信号。 b先将远端信号(方波)转变成伪随机序列,然后从中提取同步信号。 完成仿真过程,给出测试结果,要求得到同步带宽、捕捉带宽和最大同步时间。 2.设计原理 2.1数字锁相环基本原理 一个典型的锁相环(PLL)系统,是由鉴相器(PD),压控荡器(VCO)和低通滤波器(LPF)三个基本电路组成,如图1所示。 图1 PLL模块图 传统的锁相环是模拟电路,它利用环路中误差信号去连续地调整位同步信号的相位。全数字锁相环路完全用数字电路构成,采用高稳定度的振荡器(信号钟),从鉴相器所获得的与同步误差成比例的误差信号不是直接用于调整振荡器,而是通过一个控制器在信号钟输出的脉冲序列中附加或扣除一个或几个脉冲,这样同样可以调整加到鉴相器上的位同步脉冲序列的相位,达到同步的目的。 全数字锁相环(ADPLL)由数字鉴相器(DP)、数字滤波器(DF)与数字压控振荡器(DCO)三个数字电路部件组成,如图2所示。 图2 ADPLL模块图

2.2数字锁相环原理框图 本设计中的数字锁相环由信号钟、控制器、分频器、相位比较器组成。其原理框图如图3所示。 图3 数字锁相环原理框图 信号钟包括一个高稳定度的晶体振荡器和整形电路。若接收码元的速率为F=1/T,那么振荡器频率设定在nF,经整形电路之后,输出周期性脉冲序列,其周期T0=1/(nF)=T/n。本设计中时钟为11.0592MHz。 控制器包括图中的扣除门(常开)、附加门(常闭)和“或门”,它根据相位比较器输出的控制脉冲(“超前脉冲”或“滞后脉冲”)对信号钟输出的序列实施扣除(或添加)脉冲。 分频器是一个计数器,每当控制器输出n个脉冲时,它就输出一个脉冲。控制器与分频器的共同作用的结果就调整了加至相位比较器的位同步信号的相位。这种相位前、后移的调整量取决于信号钟的周期,每次的时间阶跃量为T0,相应的相位最小调整量为Δ=2πT0/T=2π/n。 相位比较器将接收脉冲序列与位同步信号进行相位比较,以判别位同步信号究竟是超前还是滞后,若超前就输出超前脉冲,若滞后就输出滞后脉冲。 3.数字锁相环的硬件实现 根据图3(数字锁相环原理框图),利用MAX PLUSII中的硬件仿真功能,实现数字锁相环。下面将给出信号钟、分频器和相位比较器的硬件实现图。 信号钟包含一个晶振和整形电路,晶振产生的方波信号经过整形电路后变成脉冲信号。为了和控制器相配合,需要两路脉冲信号。整形电路由D触发器和逻辑与、或、非门组成。D触发器起到延时的作用,然后通过逻辑或门和与门产生脉冲波。电路如图4所示。

全数字锁相环毕业设计终稿

安徽大学 本科毕业论文(设计、创作) 题目:全数字锁相环的研究与设计 学生姓名:郑义强学号:P3******* 院(系):电子信息工程学院专业:微电子 入学时间:2011年9月 导师姓名:吴秀龙职称/学位:教授/博士 导师所在单位:安徽大学电子信息工程学院 完成时间:2015 年5月

全数字锁相环的研究与设计 摘要 锁相环路的设计和应用是当今反馈控制技术领域关注的热点,它的结构五花八门,但捕获时间短,抗干扰能力强一直是衡量锁相环性能好坏的一个标准。本文是在阅读了大量国内外关于全数字锁相环的技术文献的基础上,总结了锁相环的发展现状与技术水平,深入分析了全数字锁相环的基本结构与基本原理,利用VHDL语言,采用自上而下的设计方法,设计了一款全数字锁相环.本文主要描述了一种设计一阶全数字锁相环的方法,首先分析了课题研究的意义、锁相环的发展历程研究现状,然后描述了全数字锁相环的各个组成部件,并且详细分析了锁相环鉴相器、变模可逆计数器、加减脉冲电路、除H计数器和除N计数器各个模块的工作原理。接着我们使用了VHDL语句来完成了鉴相器、数字滤波器和数字振荡器的设计,并且分别使用仿真工具MAX+plus II逐个验证各个模块的功能。最后,将各个模块整合起来,建立了一个一阶全数字锁相环的电路,利用仿真工具MAX+plus II 验证了它的功能的能否实现,仿真结果与理论分析基本符合。 关键词:全数字锁相环;数字滤波器;数字振荡器;锁定时间

Design and research of ALL Digital Phase-Locked Loop Abstract The design and application of phase-locked loop is the focus of attention in the field of feedback control technology today, phase- locked loop has played a very important and unique role in variety of applications. such as the radar, measurement,communications, etc. All-digital phase-locked loop has its unique advantages. Its structure is varied, but short capture time, small synchronization error, excellent anti-interference ability is the standard measure of performance of a phase-locked loop. On the basis of reading a lot of DPLL technology literature of domestic and abroad, this article summed up the present situation and the development level of phase-locked loop technology, analysis the basic structure and principle of all-digital phase-locked loop in-depth, designed a quick all-digital phase-locked loop by using VHDL language and top-down design approach. In this brief, we presented a way of designing a first-order ALL Digital Phase-Locked Loop (ADPLL) first analyzes the significance of research, the development course of phase-locked loop current research status, and then describes the component parts of all digital phase-locked loop, and detailed analysis of the phase lock loop phase discriminator, reversible counter change mould, add and subtract pulse circuit, in addition to H counter and divide N working principle of each module. Then we use the VHDL statements to complete the phase discriminator, digital filter and the design of the digital oscillator, and using the simulation tool of MAX + plus II one by one to verify the function of each module. Finally, the various modules together, established a first-order digital phase-locked loop circuit, using the simulation tool of MAX + plus II verify the realization of its function, the simulation results and principle Keywords: All Digital Phase-Locked Loop; Digital filter; Digital oscillator, Locking time

相关文档