文档库 最新最全的文档下载
当前位置:文档库 › 第3章 组合逻辑电路习题答案

第3章 组合逻辑电路习题答案

第3章  组合逻辑电路习题答案
第3章  组合逻辑电路习题答案

第3章 组合逻辑电路

3.1 试分析图3.59所示组合逻辑电路的逻辑功能,写出逻辑函数式,列出真值表,说明电路完成的逻辑功能。

(b)

(c)

(a)A B C D

L

=1

=1

=1

A B C

&

=1

&

=1

&

L 1

L 2

B

A

1

1

≥1

≥1

≥1

L 1L 2L 3

图3.59 题3.1图

解:由逻辑电路图写出逻辑函数表达式: 图a :D C B A L ⊕⊕⊕= 图b :)()(21B A C AB B A C AB L C B A L ⊕+=⊕=⊕⊕=

图c :B A B A L B

A A

B B A B A L B

A B A L =+=+=+++==+=321

由逻辑函数表达式列写真值表:

A

B

C

D

L

0 0 0 0 00 0 0 1 10 0 1 0 10 0 1 1 00 1 0 0 10 1 0 1 00 1 1 0 00 1 1 1 11 0 0 0 11 0 0 1 01 0 1 0 01 0 1 1 11 1 0 0 01 1 0 1 11 1 1 0 11 1 1 1 0

A B C

L 1L 2

0 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1

A B

L 1L 20 0 0 1 0L 3

0 1 0 0 11 0 1 0 01 1 0 1 0

由真值表可知:图a 为判奇电路,输入奇数个1时输出为1;图b 为全加器L 1为和,L 2为进位;图c 为比较器L 1为1表示A>B ,L 2为1表示A=B, L 3为1表示A

3.3 设有四种组合逻辑电路,它们的输入波形(A 、B 、C 、D )如图3.61所示,其对应的输出波形分别为W 、X 、Y 、Z ,试分别写出它们逻辑表达式并化简。

D C B

A W X Y Z

输入

输出

图3.61 题3.3图

解:

BA

C A C

D B C A C D W +++=11

01

00BA 1110

00DC 01

11

10

1

1

1BA

C A

C D A

C D B C 1

11

1

CBA

A C D A

B B D X +++=11

01

00BA 11

1000

DC 0111

10

1

11CBA

A C D A

B 1111

B

D A

C D CB D B C D Y ++=1

1

0100BA 1110

00

DC 011110

1

1

CB

D B

C D 1

1

A

C D A

B D DBA CA CB D Z +++=11

0100BA 1110

00

DC 0111

10

1

CA DBA

1

1CB

D 111

A

B

D D C B A W X Y Z

输入输出

B C BA C A C D A C D W DCBA +++==∑)13,12,11,10,8,6,5,4,3()( A C D CBA B D A B X DCBA +++==∑)15,13,12,9,8,7,4,2,0()(

A C D C

B D B

C

D Y DCBA ++==∑)10,8,7,6,1,0()(

CB D DBA A B D CA Z DCBA +++==∑)15,13,12,11,8,7,6,5()(

3.4 X 、Y 均为四位二进制数,它们分别是一个逻辑电路的输入和输出。 设: 当 0≤X≤ 4时, Y=X+1 ;当 5≤X≤9 时,Y=X -1,且X 不大于9。 (1) 试列出该逻辑电路完整的真值表; (2) 用与非门实现该逻辑电路。

解:(1) 按题意要求列真值表如下:

0 0 0 0x 3x 2x 1x 0y 3y 2

y 1y 0

0 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1

0 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 0x x x x x x x x x x x x x x x x x x x x x x x x

X X Y 0

33=0100

1110

00011110

1

x 3x 2

x 1x 0

X X X X X Y 0

31022++=0100

1110

00011110

1

x 3x 2

x 1x 0

1

11

1

1X X

X X X X X X X X X X Y 0

1

3

2

1

2

1

2

3

1

+

++=0100

111000011110

x 3x 2

x 1x 0

X Y 0

0=0100

111000011110

1x 3x 2

x 1x 0

1

1

1

11

1

1

1

(2) 把与或表达式转换为与非表达式,以便用与非门实现该逻辑电路。

X X X X Y 03033==

X X X X X X X X X X Y 0310*******=++=

X X X X X X X X X X X X X X X X X X X X X X X X Y 0

132012012030132012012031=+++= X Y 00=

作图如下:

1

&

&

&

1

1

1

1

x 3

x 2

x 1

x 0

&&&

&

&

y 3

y 2

y 1

y 0

3.5 设计一交通灯监测电路。 红、绿、黄三只灯正常工作时只能一只灯亮,否则,将

会发出检修信号,用两输入与非门设计逻辑电路,并给出所用74系列的型号。

解:设A 、B 、C 分别表示红、绿、黄三只灯,且亮为1,灭为0;检修信号用L 表示,L 为1表示需要检修。依据题意列写真值表:

A

B C

L

0 0 0 10 0 1 00 1 0 00 1 1 11 0 0 01 0 1 11 1 0 11 1 1 1

BC

AC AB C B A L +++=0100BC

1110

0A 1

11

11

1

1&11

A B C

&&

&

1

&

1

&

1

&

&

L

BC AC AB C B A BC AC AB C B A L =+++=

3.7 试用译码器 74LS138 和适当的逻辑门设计一个三位数的奇校验器。

解:设用A 、B 、C 表示三位二进制数输入 ,L 表示输出,L=1表示输入有奇数个1。列写真值表,求表达式,作图如下:

A

B

C

L

0 0 0 00 0 1 1 0 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1

74LS138A 0A 1A 2

S 1S 2S 3

Y 7

Y 6Y 5Y 4Y 3Y 2Y 1Y 0&

1

C B A

L

Y Y Y Y ABC C B A C B A C B A L m

m m

m m m m m 7

4217

4

2

1

7421==+++=+++= 3.8 试用译码器 74LS138 和与非门实现下列逻辑函数:

(1) ????

????=++=+=AC

B A L B A

C A L C B A AB L 321

(2) (0,2,6,8)m L

=∑

解: 取ABC=A 2A 1A 0则:

Y Y Y C B A C AB ABC C B A AB L m

m m m m m 7657

6

5

7651==++=++=+=

Y ABC B A C B A C A L m 77

2===++=++=

Y Y Y Y Y Y m AC B A AC B A L 765410),7,6,5,4,1,0(==++=?=∑

74LS138A 0A 1A 2

S 1S 2S 3

Y 7

Y 6Y 5Y 4Y 3Y 2Y 1Y 0&1

A

B C &

L 2

L 1

L 3

74LS138A 0A 1A 2

S 1S 2S 3

Y 7

Y 6Y 5Y 4Y 3Y 2Y 1Y 01A

B C L

D

&

D

C B A BC A C B A C B A

D C B A D BC A D C B A D C B A m L )()8,6,2,0(+++=+++==∑分析可见 D=1时,L=0; D=0时,C B A BC A C B A C B A L +++=。取ABC=A 2A 1A 0,S 1=1, S 2=D, S 3=0, 则:Y Y Y Y C B A BC A C B A C B A L m

m m m 43104

3

1

=+++=

+++=

也可利用2片74138扩展为4-16线译码器,然后取ABCD= A 3A 2A 1A 0进行设计。

3.10 试用译码器 74LS138 和适当的逻辑门设计一个1位数的全加器。 解:列写真值表,F 1 表示和,F 2表示进位。

A

B

C

F 1

0 0 0 00 0 1 10 1 0 10 1 1 01 0 0 11 0 1 01 1 0 01 1 1 1

F 200010111

74LS138A 0A 1A 2

S 1S 2S 3

Y 7

Y 6Y 5Y 4Y 3Y 2Y 1Y 01

A

B C &&

F 1

F 2

Y Y Y Y F m m m m 742174211=+++= Y Y Y Y F m m m m 765376532=+++=

3.11 试用译码器 74LS138 和适当的逻辑门设计一个组合电路。该电路输入X 与输出L 均为三位二进制数。二者之间的关系如下:

当 2≤X≤5 时 L = X + 2 当 X <2 时 L = 1

当 X >5 时 L = 0

解:按题意列写真值表、求表达式、画图

x 1

0 0 0 00 0 1 00 1 0 10 1 1 11 0 0 11 0 1 11 1 0 01 1 1 0

x 2

00001100

L 1x 0

L 0

L 211010100

74LS138A 0A 1A 2

S 1S 2S 3

Y 7

Y 6Y 5Y 4Y 3Y 2Y 1Y 01

&&

L 1L 2

x 2

x 0x 1&L 0

Y Y Y Y L m m m m 543254322=+++= Y Y L m m 54541=+=

Y Y Y Y L m m m m 531053100=+++=

3.12 试用三片3—8线译码器 74LS138组成5—24线译码器。 解:用A4A3控制各个芯片的工作状态,具体分配如下:

A 10 00 11 1

A 2第1片工作A 4A 0

A 3第2片工作第3片工作

1

A 2A 0A 174LS138A 0A 1A 2

S 1S 2S 3Y 7Y 6Y 5Y 4Y 3Y 2Y 1Y 074LS138A 0A 1A 2

S 1S 2S 3Y 7Y 6Y 5Y 4Y 3Y 2Y 1Y 074LS138A 0A 1A 2

S 1S 2S 3

Y 7

Y 6Y 5Y 4Y 3Y 2Y 1Y 0A 4

A 30

Y 7Y 6Y 5Y 4Y 3Y 2Y 1Y 0Y 15Y 14Y 13Y 12Y 11Y 10Y 9Y 8Y 23

Y 22Y 21Y 20Y 19Y 18Y 17Y 16

3.14 由数据选择器组成的逻辑电路如图3.63所示,试写出电路的输出函数式。

1

1

&

D 0

D 1

D 2

D 3A 0

A 1Y X

1

Z

W G

Y L

四选一 MUX

图3.63 题3.14图

解:由图可见A 1A 0=YX , D 3= 0,D 2=1, 1WZ W Z D ==+, 0W D =,G=0

1

2

3

1

2

3

()i

i

L Y G m m m m

D D D D D WY X W Z Y X Y X WY X WY X ZY X Y X

m ===+++∑=+++=+++

化简有: Y X Y ZX Y W X X W L +++=

3.15 试用四选一数据选择器实现下列逻辑函数: (1) (0,2,4,5)L m =∑ (2) (1,3,5,7)L m =∑

(3) (0,2,5,7,8,10,13,15)L m =∑

(4) (1,2,3,14,15)L m =∑

解:利用卡诺图法确定D i 的连接关系 。 (1)

0100BC 11100A

1

1

11

1

1

3

2

10

A

A D D D D ====

B L

1

D 0

D 1D 2D 3A 0A 1G Y

四选一 MUX C

1

A

或者:

0100BC 11100A

1

1

11

1

C

D =0C D =11

2=D 0

3=D

A

L

1

D 0

D 1D 2D 3A 0A 1G Y

四选一 MUX B

1

C

(2)

0100BC 11

10

0A

1

111

1

D 00

=D 1=12D =0

31

D = B L

D 0

D 1D 2D 3A 0A 1G Y

四选一 MUX C

1

1

或者:

0100

BC 1110

0A

1

111

1

D 0

C

=D 1=C 2

D =C

3

C D = A L D

D 1D 2

D 3A 0A 1G

Y 四选一MUX B

C

(3)

10100CD 11

10

00AB

011110

1

1

1

11

11

L BD BD

=+ B L D 0

D 1D 2D 3A 0A 1G Y

四选一 MUX D

1

1

或者:

10100CD 11

10

00AB

0111

101

1

1

11

1

1

D 0

L = BD + BD

D 1

3

D 2

D D 0B =D 2=D 1B

=D 3=

C L D

D 1D 2

D 3A 0A 1

G

Y 四选一MUX D

B

1

(4)

1

0100CD 111000AB

011110

1

1

1

10

C D

D =+1

D =2

D =3

C

D =

>1

A

L

D 0

D 1D 2D 3A 0A 1G Y

四选一 MUX B

C

C

D

3.16 试用四选一数据择器设计一判定电路。只有在主裁判同意的前提下,三名副裁判

中多数同意,比赛成绩才被承认,否则,比赛成绩不被承认。

解:设用A 表示主裁判、B 、C 、D 表示副裁判,L 表示比赛成绩;A 、B 、C 、D 分别为1表示同意,为0表示不同意;L 为1表示承认比赛成绩,L 为0表示不承认比赛成绩。列写真值表如下:

A B C D L

0 0 0 0 00 0 0 1 00 0 1 0 00 0 1 1 00 1 0 0 00 1 0 1 00 1 1 0 00 1 1 1 01 0 0 0 01 0 0 1 01 0 1 0 01 0 1 1 11 1 0 0 01 1 0 1 11 1 1 0 11 1 1 1 1

ACD ABC ABD L ++=11

0100CD 1110

00AB 011110

1

1

00=D 0

1=D CD

D =2D C D +=3

>1

A L

D 0

D 1

D 2

D 3A 0A 1G

Y 四选一 MUX B

D

&C

D

(1)取AB=A 1A 0,G=0,采用卡诺图法确定D 0~D 3,并作图。 (2)取,G=A ,CD=A 1A 0,采用卡诺图法确定D 0~D 3,并作图。

110100

CD

11100

B 1

1

1

0=D B

D =1B

D =21

3=D C L

D 0

D 1

D 2

D 3A 0A 1G

Y 四选一 MUX D

1

A

B

1

1

3.17 试画出用2个半加器和一个或门构成一位全加器的逻辑图,要求写出S i 和C i 的逻辑表达式。

解:

B

A S ∑

C O

B

A S ∑

C O

>1A i B i

C i

S i

C i-1

对于半加器有: B A S ⊕=,C O =AB, 所以:

C B A i S i i i 1-⊕⊕=

C B A B A C i i i i i i 1)(-⊕+=

3.18 利用4位集成加法器74LS283实现将余3码转换为8421BCD 码的逻辑电路。 解:因为8421BCD 等于余3码减3,减3可用补码相加完成,作图如下:

74283A 0

1

A 2A 3A 1101

B 01

B 2B 3

B I

C

S 0

1

S 2S 3

S 8421BCD 码输出

余3码输入

3.19 利用4位集成加法器74LS283和适当的逻辑门电路,实现一位余3代码的加法运算,画出逻辑图。(提示:列出余3代码的加法表,再对数进行修正)。

解:利用74283实现一位余3代码的加法运算,应解决的主要问题是和的修正问题,因为余3码比8421码多3。经分析可得:余3码和有进位,其和加3,无进位,其和减3。(进位表示16,比10进制多6,但原代码已多6,正好抵消,但输出是余3码,需要加3;若无进位,原代码多6,因此需要减3,减3利用变补相加完成)。作图如下:

74283

A 01A 2A 3A

B 0

1B 2B 3B I

C S 0

1S 2S 3S o

C 74283

A 01A 2A 3A

B 0

1B 2B 3B I

C S 0

1S 2S 3S 余3码

输入

余3码输入

o

C 1

1

余3码输出

进位

3.20 设:A 、B 均为3位二进制数,利用4位二进制加法器74LS283 ,实现一个 L =

2(A+B)的运算电路。

解:因L = 2(A+B)=2A+2B ,一个二进制数乘以2相当于这个二进制数向左移一位,最低位补0。

74283A 01A 2A 3A B 01

B 2B 3B I

C S 01

S

2

S 3

S 0

B 01B 2B A 01A 2A 0L

O C

3.21 图3.64是3—8线译码器74LS138 和8选1数据选择器74LS151组成的电路,试分析整个电路的功能。8选1数据选择器74LS151的功能见表3.25所示。

Y 74LS151

T

174LS138

Y Y S 2

10S S S aa2

10aA 012

A A 7

6Y Y Y 45Y Y 3Y 21bbb012

210A A A D D D D D D D D 76543210

图3.64 题3.21图

表 3.25 74LS151的功能表

ST

A 2 A 1 A 0 Y 1 0 0 0 0 0 0 0 0

× × × 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

0 D0 D1 D2 D3 D4 D5 D6 D6

解:

74138使能端有效,译码器处于工作状态,m i

i Y =

;74151处于工作状态,L=∑m j D j

=

∑m j Y i ,当i=j 时,L=0; 当i ≠j 时,L=1。

3.22 试用16选1数据择器和一个异或门,实现一个八用逻辑电路。其逻辑功能要求如表3.26所示。

表3.26

S 2 S 1 S 00 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

L 0A ⊙B

A+B AB B A ⊕1A+B AB

解:分析题目要求,由于要实现8种逻辑功能,功能选择由S 2S 1S 0确定,逻辑函数的输入变量A 、B 可通过数据输入端和地址选择信号的低位输入,分析设计结果如下表,并作图。

S 10 0 0S 2S 0

L

0 0 10 1 00 1 11 0 01 0 11 1 01 1 1

Y

0?+?A A 01

?+?A B A A+B

B

A A ?+?1AB

B A =+B

A B A ?+?B

A ⊕11?+?A A 1

?+?A B A B

A B A +=?B

A A ?+?0AB

B

A B A ?+?B

A B A ?+? L

Y

T

S D D D D 151413112A 1A 0A 3A D 0D 1D 2D 3D 4D 5D 6D 7D 8D 9D 10D 12B =1

A

2S 1S 0S 1

1

10

3组合逻辑电路习题解答

3组合逻辑电路习题解答 33 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++=

第4章组合逻辑电路课后答案

第4 章 [题 4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 P3AP1P5P2P3P4 A P 4CP2 P3Y P5P6 B P1 AB Y P2BP1 C P6CP4 图P4.1 图P4.2 解:( 1)逻辑表达式 Y P5P6P2 P3 P4 CP4P2 P3P4CP4 P2 P3 C CP2 P3P2 P3 C C P2P3 PPC23P PC 2 3 P2 P3BP1 AP1 B AB AAB AB AB Y P2P3C P2 P3C AB AB C AB ABC AB ABC AB C ABC AB ABC AB ABC C ( 2)真值表 A B C Y A B C Y 00011000 00101011 01001101 01111110 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1 和全为0 时,Y=1,否则 Y=0 。 [题 4.3] 分析图P4.3电路的逻辑功能,写出Y1、、Y2的逻辑函数式,列出真值表,指出 电路完成什么逻辑功能。

A B Y 2 C Y 1 图 P4.3 [解 ] 解: Y2AB BC AC Y1 ABC ( A B ) C Y2 ABC ( A B ) BC AC C AB ABC ABC ) ABC ABC 真值表: A B C Y1 Y2 00000 00110 01010 01101 10010 10101 11001 11111 由真值表可知:电路构成全加器,输入 A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”, Y 2为“进位”。 [题 4.4]图 P4.4 是对十进制数9 求补的集成电路CC14561 的逻辑图,写出当COMP=1 、Z=0 、和 COMP=0 、 Z=0 时, Y 1~ Y 4的逻辑式,列出真值表。

组合逻辑电路习题答案

第3章 组合逻辑电路 试分析图所示组合逻辑电路的逻辑功能,写出逻辑函数式,列出真值表,说明电路完成的逻辑功能。 (b) (c) (a)A B C D L =1 =1 =1 C 2 L 1L 2L 3 图 题图 解:由逻辑电路图写出逻辑函数表达式: 图a :D C B A L ⊕⊕⊕= 图b :)()(21B A C AB B A C AB L C B A L ⊕+=⊕=⊕⊕= 图c :B A B A L B A A B B A B A L B A B A L =+=+=+++==+=321 由逻辑函数表达式列写真值表: A B C D L 0 0 0 0 00 0 0 1 10 0 1 0 10 0 1 1 00 1 0 0 10 1 0 1 00 1 1 0 00 1 1 1 11 0 0 0 11 0 0 1 01 0 1 0 01 0 1 1 11 1 0 0 01 1 0 1 11 1 1 0 11 1 1 1 0 A B C L 1L 2 0 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1 A B L 1L 20 0 0 1 0L 3 0 1 0 0 11 0 1 0 01 1 0 1 0 由真值表可知:图a 为判奇电路,输入奇数个1时输出为1;图b 为全加器L 1为和,L 2为进位;图c 为比较器L 1为1表示A>B ,L 2为1表示A=B, L 3为1表示A

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

第3章--组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险?产生竞争-冒险的原因是什么?如何消除竞争-冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图3.55所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表3.1。 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图3.56所示各组合逻辑电路的逻辑功能,写出函数表达式。

组合逻辑电路习题解答

( 有些题答案错了 )自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出0 7 Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、

B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达 式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器

组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加什么是全加区别是什么 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险产生竞争-冒险的原因是什么如何消除竞争-冒险 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图所示各组合逻辑电路的逻辑功能,写出函数表达式。

组合逻辑电路练习题及答案

组合逻辑电路练习题及答案 一.填空题(10) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实现,但逻辑函数的一般表达式不是唯一的,而其标准表达式是唯一的。 2.任意两个最小项之积为0,任意两个最大项之和为1。 3.对于逻辑函数BC A F,但这 AB F,为了化简,利用逻辑代数的基本定理,可表示为C C A AB 可能引起0型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为39。 5.在 3.3V供电的数字系统里,所谓的高电平并不是一定是 3.3V,而是有一个电压范围,我们把这个电压范围称为高电平容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平容限。 二.选择题(10) 1.在下列程序存储器的种类中,可在线改写的有 b d。 a. PROM; b. E2PROM; c. EPROM; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实现的空间密度最小、能耗最低、能得到普及应用的实现方式是d。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是befgi ,为时序逻辑电路的是acdh。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 4.卡诺图上变量的取值顺序是采用b的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 5.在可编程逻辑芯片中,有PROM、PAL、GAL、CPLD等多种结构方式,其中PROM是b,PAL 是c,GAL是a,CPLD是a。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三.简答题(50) 1.分别画出JK和D触发器的电路符号图,并分别画出将JK触发器转换成D触发器以及将D触发器转换成JK触发器的电路连接图。 1

第三章 组合逻辑电路.

第三章 组合逻辑电路 授课题目: 3.1小规模组合逻辑电路的分析 教学目标: 1、熟练各种门电路的逻辑功能及描述方法。 2、掌握组合逻辑电路的分析方法。 3、小规模组合逻辑电路的设计 教学内容(包括重点、难点): 教学重点:组合逻辑分析步骤,小规模组合逻辑电路设计方法。 教学难点:分析和设计的步骤、思路和注意事项。 教学过程设计 ● 复习并导入新课 问题:1、逻辑电路有哪些表示方法? 2、如何由真值表写出函数表达式? ● 就新课内容提出问题 1、总结如何由具体事件分析输入变量、输出变量和它们的关系? 2、总结如何由具体事件分析输入变量、输出变量和它们的关系? 3、真值表如何写出? ● 讲授新课 3.1 小规模组合逻辑电路的分析和设计 按照逻辑功能的不同特点,可以把数字电路分成两大类,一类叫做组合逻辑电路,另一类叫做时序逻辑电路。 组合逻辑电路的特点:即刻输入,即刻输出。 F A B C

一、组合逻辑电路的分析方法 分析步骤如下: 第一步:写出逻辑函数表达式; 第二步:逻辑表达式进行化简; 第三步:列真值表; 第四步:分析电路的逻辑功能。 注:以上步骤并非一定要遵循,应视具体情况而定,可略去其中的某些步骤。 举例1: 分析上图所示电路的逻辑功能。 解 第一步:写出逻辑表达式。 P=AB N=BC Q=AC F=Q N P ??=AC BC AB ??=AB+BC+AC 第二步:列出真值表。 第三步:逻辑功能描述。由真值表可见,在输入三个变量中,只要有两个以上变量为1,则输出1,所以该电路是一个三变量多数表决器。 二、组合逻辑电路的设计 (一)设计的一般步骤如下: 第一步:分析要求; 第二步:列真值表; 第三步:写出逻辑表达式并化简; 第四步:画逻辑图。 举例2:设计一个三变量多数表决电路,用与非门实现。 解:(1)分析命题; (2

数电组合逻辑电路练习题

数电组合逻辑电路练习题 一、填空题 1. 如果对键盘上108个符号进行二进制编码,则至少要 位二进制数码2. C A AB Y +=,Y 的最简与或式为 。 3. TTL 电路如图1,电路的逻辑表达式F 。 图 1 4.四输入TTL 或非门,在逻辑电路中使用时,有2个输入端是多余的,应将多余端接 。 5. 在TTL 、CMOS 逻辑族中,在电源电压值相同时,噪声容限大的是_______________. 6.F=A B +BD+CDE+A D 最简的与或式是_______________. 7.试将函数F A B C AC BC AC A B AB (,,)()=++++,简化成与或表达式F =_____________. 8. 请分析图示TTL 器件组成的电路,填写所列的真值表. 9.请写出下图S 的表达式 。CO 的表达式 。

1. 7 ,2.AC AB +, 3.A+B , 4.接地, 接低电平或并联使用, 5.CMOS 逻辑;6. D B A +;7. F =C B +; 9.S=B A ⊕ ;CO=AB 二、是非题 (注:请在每小题后用"√"表示对,用"×"表示错) 1.图1TTL 电路逻辑表达式F=A 。 图 2.图2电路输出函数 F =B A + 。 3. 凡是用与非门构成的逻辑电路一定是组合电路。 4. CMOS 门的输出结构和TTL 的类似,可以分成标准的、漏极开路及3态输出三种 。 5. 十进制是7,它的8421BCD 码是0111。 6. 如果与非门输入端均为高电平,那么它所带的是灌电流负载 。 7. 一个16选一的数据选择器,其地址输入(选择控制输入)端有16。 8.当与非门两个输入端AB 的状态由00→11时,将可能产生竞争冒险。 9. 若有变量均为A 、B 、C 、D 的两个逻辑函数F 和G ,且有F +G =1的关系,则F =1-G 的等式成立。 10. 对于共阳接法的发光二极管数码显示器,应采用高电平驱动的七段显示译码器。 1. ×; 2. ×; 3. × ; 4. √; 5. √; 6. √; 7. ×; 8. ×; 9. ×;10. ×; DD F A B

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

第三章组合逻辑电路

第三章 组合逻辑电路 本章教学目的、要求: 1.掌握组合逻辑电路的分析方法和设计方法。 2.熟悉常用中规模集成组合逻辑电路的工作原理。 3.了解组合电路中的竞争和冒险现象。 重点:组合逻辑电路的分析方法和设计方法。 难点:组合逻辑电路分析中的功能判断 第一节 概述 一、组合逻辑电路的特点 数字电路按逻辑功可分为两大类 1.组合逻辑电路:任意时刻 的输出只取决于该时刻的输 入,与电路原来的状态无关。 2.时序逻辑电路:任意时刻 的输出不仅取决于该时刻的输 入,而且与电路原来的状态有关。 在组合逻辑电路中 例:右图所示电路: CI B A S ⊕⊕=)( AB CI B A CO +⊕=)( 二、逻辑功能的描述 逻辑图、函数式或真值表均能描述,这里用函数式说明: y 1=f 1(a 1,a 2,…a n ) 框图 y 2=f 2(a 1,a 2,…a n ) . . y m =f m (a 1,a 2,…a n ) 1.功能特点: 电路的输出状态不影响输入;电路的输入确定后,输出即确定。 2.结构特点: 电路不包含存储信号的记忆元件;电路不存在从输出到输入的反馈电路。 组合逻辑电路 a 1 y 1 y 2 y m a 2 a n · · · ·

=1 & ≥1 1 A i B i C i S i C i +1 (a ) 全加器 S i C i +1 A i B i C i (b ) =1 第二节、组合逻辑电路的分析方法和设计方法 一、组合逻辑电路的分析方法 所谓逻辑电路的分析,就是找出给定逻辑电路输出和输入之间的逻辑关系,并指出电路的逻辑功能。分析过程一般按下列步骤进行: 1.根据给定的逻辑电路,从输入端开始,逐级推导出输出端的逻辑函数表达式。 2.根据输出函数表达式列出真值表。 3.用文字概括出电路的逻辑功能。 例1:分析图4-2所示组合逻辑电路的逻辑功能。 解:根据给出的逻辑图, 逐级推导出输出端的逻辑函数表达式: 列真值表 由真值表可以看出,在三个输入变量中,只要有两个或两个以上的输入变量为1,则输出函数F 为1,否则为0,它表示了一种“少数服从多数”的逻辑关系。因此可以将该电路概括为:三变量多数表决器。 例2:分析下图所示电路,指出该电路的逻辑功能。 解: ① 写出函数表达式。 ② 列真值表。 ③ 分析功能。 A B C F 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 1 0 1 1 1 A i B i C i C i+1 S i & & & & P 2 P 1P 3 F A B C 图 4-2 AC BC AB AC BC AB P P P F AC P BC P AB P ++=??=??====321321,,i i i i i i i i i i B A C B A C C B A S +⊕=⊕⊕=+)(1

组合逻辑电路习题(附答案)

例1 指出下图1所示电路的输出逻辑电平是高电平、低电平还是高阻态。已知图(a)中的门电路都是74系列的TTL门电路,图(b)中的门电路为CC4000系列的CMOS门电路。 图1 解:TTL门电路的输入端悬空时,相当于高电平输入,输入端接有电阻时,其电阻阻值大于1.4K时,该端也相当于高电平,电阻值小于0.8K时,该端才是低电平。而CMOS逻辑门电路,输入端不管是接大电阻还是接小电阻,该端都相当于低电平(即地电位)。所以有如下结论: (a) 1L为低电平状态;2L是低电平状态;3L是高电平状态;4L 输出为高阻状态; (b) 1L输出为高电平;2L输出是低电平状态;3L输出是低电平

状态; 例2 图例2所示为用三态门传输数据的示意图,图中n 个三态门连到总线BUS ,其中D 1、D 2、…、D n 为数据输入端,EN 1、EN 2、…、EN n 为三态门使能控制端,试说明电路能传输数据的原理。 图例2 解:由三态门电路符号可知,当使能端低电平时,三态门输出为高阻阻态,所以,只要给各三态门的使能端n EN EN EN ,,,21 依次为高电平时,则,1n D D 的数据就依次被传输到总线上去。 例3 某功能的逻辑函数表达式为L=∑m(1,3,4,7,12,14,15); (1)试用最少量的“与-非”门实现该函数; (2)试用最少量的“或-非”门实现该函数; 解: (1)设变量为A 、B 、C 、D ,用卡诺图化简,结合“1”方格

得:D B A CD A ABC D C B D B A CD A ABC D C B D C B A f L ) , , , ( (2)卡诺图中结合“0”方格,求最简的“或—与”表达式,得: D C A D C B D B B A D C A D C B D B B A L ) )( )( )( (

第章组合逻辑电路习题解答

第章组合逻辑电路习题 解答 公司内部档案编码:[OPPTR-OPPT28-OPPTL98-OPPNN08]

复习思考题 3-1 组合逻辑电路的特点 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加什么是全加区别是什么 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险产生竞争-冒险的原因是什么如何消除竞争-冒险 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两

个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换: 令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表。 输入 中间变量 中间变 量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 0 1 1 0 0 0 1 1 0 1

第4章组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 L B A =1 =1 =1 F F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

组合逻辑电路习题--优选解答.docx

复习思考题 3-1组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来 自低位的进位三者相加,称为全加。半加是两个 1位二进制数相加,全加是三个 1位二进制数相加。 3-3编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5什么是竞争-冒险?产生竞争- 冒险的原因是什么?如何消除竞争- 冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争- 冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习题 3-1 试分析图所示各组合逻辑电路的逻辑功能。 解:(a)图(1)由逻辑图逐级写出表达式:Y ( A B) (C D ) ( 2)化简与变换: Y1A B 令 Y2 C D 则Y Y1 Y2 (3)由表达式列出真值表,见表。 输入中间变量中间变量输出 A B C D Y 1Y 2Y 0000000 0001011

第3章组合逻辑电路1

第 3章 组合逻辑电路 逻辑电路按照逻辑功能的不同可分为两大类:一类是组合逻辑电路(简称组合电路), 另一类是时序逻辑电路(简称时序电路)。所谓组合电路是指电路在任一时刻的输出状态只与同一时刻各输入状态的组合有关,而与前一时刻的输出状态无关。组合电路的示意图如图所示。组合逻辑电路的特点: (1) 输出、输入之间没有反馈延迟通路。 (2) 电路中不含记忆元件。 图 组合电路示意图 组合逻辑电路的分析方法和设计方法 (1)3.1.1组合逻辑电路的分析方法 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计 是否合理。 组合逻辑电路的分析步骤如下: (1) 根据已知的逻辑图, 从输入到输出逐级写出逻辑函数表达式。 (2) 利用公式法或卡诺图法化简逻辑函数表达式。 (3) 列真值表, 确定其逻辑功能。 例 1 分析如图所示组合逻辑电路的功能。 解(1) (2)化简 (3) 例真值表:如表3·1所示 图 例 1 的逻辑电路 X 1X 2 X n 12 m 输入信号 输出信号 AC BC AB Y ??=AC BC AB Y ++= A B B C A C Y

表例1的真值表 由表可知,若输入两个或者两个以上的1(或0), 输出Y为1(或0), 此电路在实际应用中可作为多数表决电路使用。 例 2分析如图所示组合逻辑电路的功能。 解(1) 写出如下逻辑表达式: (2) 化简AB Y= 1 AB A Y A Y? = ? = 1 2 B AB B Y Y? = ? = 1 3 B AB AB A Y Y Y? ? = = 3 2 B AB AB A Y? ? = ) ( ) (B AB AB A+ ? + = AB B A+ = B A⊕ =

数字电子技术第三章(组合逻辑电路)作业及答案

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)( 2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ A B S 1 S =1 =1 & =1

(2)2Y A C B = +() (3)3Y ABC B EF G =++() & & 1 ≥Y1. 1 A B C . & 1 ≥Y2 . 1 A B C & 1 ≥1 ≥& & 1 A B C . E F G .Y3 . . . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

5、试用逻辑门电路设计一个2选1数据选择器,输入信号为A、B,选择信号为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路,可以按照需求启动发电动机以达到节电的目的。

相关文档
相关文档 最新文档