文档库 最新最全的文档下载
当前位置:文档库 › 北邮实验报告封面

北邮实验报告封面

北邮实验报告封面

篇一:北邮通原实验报告

北京邮电大学

通信原理实验报告

班级:xxxxxxxx 专业:xxxxxx 姓名:

xxxx 学号:xxxxx 同组人:xxxx

目录

通信原理实验报告 ................................................ ................................................... (1)

实验一:双边带抑制载波调幅(DSB-AM) ........................................ (3)

一、实验目的: .............................................. ................................................... . (3)

二、实验系统框

................................................... .. (3)

三、实验步骤: .............................................. ................................................... . (4)

四、实验结果: .............................................. ................................................... . (6)

2.3 实验二:具有离散大载波的双边带调幅(AM) ............................................ .. (12)

一、实验目的: .............................................. ................................................... .. (12)

二、实验系统框图: ..............................................

(12)

三、实验步骤: .............................................. ................................................... .. (13)

四、实验结果: .............................................. ................................................... .. (13)

思考题: .............................................. ................................................... .. (17)

实验三:调频(FM) ............................................ ................................................... (18)

一、实验目的: ..............................................

(18)

二、实验系统框图: .............................................. ................................................... (18)

三、实验步骤: .............................................. ................................................... .. (18)

四、实验结果: .............................................. ................................................... .. (19)

思考题: .............................................. ................................................... .. (21)

实验六:眼图 ................................................

(23)

实验目的 ................................................ ................................................... (23)

实验步骤 ................................................ ................................................... (23)

实验结果 ................................................ ................................................... (23)

实验七:采样、判决 ................................................ ................................................... (24)

实验目的 ................................................

(24)

实验步骤 ................................................ ................................................... (24)

实验结果 ................................................ ................................................... (24)

实验总结: .............................................. ................................................... .. 错误!未定义书签。

实验八:二进制通断键控(OOK) ............................................. ................................................... (26)

实验目的 ................................................

(26)

实验原理 ................................................ ................................................... (26)

实验步骤 ................................................ ................................................... (26)

实验结果 ................................................ ................................................... (26)

四、思考题 ................................................ ................................................... .. (30)

实验总结 ................................................

.... 错误!未定义书签。

实验十二:低通信号的采样与重建 ................................................ ...................................................

31

实验目的 ................................................ ................................................... (31)

实验原理 ................................................ ................................................... (31)

实验步骤 ................................................ ................................................... (31)

实验结果 ................................................

..........(转自:https://www.wendangku.net/doc/a019193991.html, 小草范文网:北邮实验报告封面).. (31)

三、思考题 ................................................ ................................................... .. (36)

实验总结: .............................................. ................................................... . (38)

实验一:双边带抑制载波调幅(DSB-AM)

一、实验目的:

(1)了解DSB-SC AM信号的产生以及相干解调的原理和实现方法;

(2)了解DSB-SC AM信号波形以及振幅频谱特点,并掌握其测量方法;

(3)了解在发送DSB-SC AM 信号加导频分量的条件下,收端用锁相环提取载波的原理及其实现方法;

(4)掌握锁相环的同步带和捕捉带的测量方法,掌握锁相环提取载波的调试方法。

二、实验系统框图:

DSB-SC加导频的产生

测量VCO压控灵敏度的框图

DSB-SC加导频分量的相干解调及载波提取框图

三、实验步骤:

SC-DSB 信号的数学表达式为?? ?? =???????(??)??????(??????????),这个实验产生SC-DSB 的方法很简单,就是用载波跟调制信号直接相乘,其中载波是由主振荡器产生为幅度为1V,频率为100KHZ

的正弦波,

而调制信号由音频振荡器产生的正弦信号再经缓冲放大器组成,幅度为1V,频率为10KHZ。

1、DSB-SC AM 信号的产生

1)按照图连接,将音频振荡器输出的模拟音频信号及主振荡器输出的100KHz模拟载频信号分别用连接线连至乘法器的两个输入端;

2)用示波器观看音频输出信号的信号波形的幅度以及振荡频率,调整音频信号的输出频率为10kHz,作为均值为0的调制信号m(t);

3)用示波器观看主振荡器输出信号的幅度以及振幅频谱;

4)用示波器观看乘法器的输出波形,并注意已调信号波形的相位翻转与已调信号波形;

5)测量已调信号的波形频谱,注意其振幅频谱的特点;

6)调整增益G=1:将加法器的B 输出端接地,A 输入端接已调信号,用示波器观看加法器的输出波形以及振幅频谱,使加法器输入与加法器输出幅度一致;

7)调整增益g;加法器A 端接已调信号,B 接导频信号。用频谱仪观看加法器输出信号的振幅频谱,调节增益g 旋钮,使导频信号振幅频谱的幅度为已调信号的边带频谱幅度的1.25倍。此导频信号功率为已调信号功率的0.32倍。

2、DSB-SC AM 信号的相干解调及载波提取

1)锁相环的调试

1 单独测量VCO的性能

将VCO 模板前面板的频率开关拨到HI 载波频段的位置,

VCO 的Vin 输入端暂不接信号。用示波器看VCO 的输出波形及工作频率f0,然后旋转VCO模板前面板的f0旋钮,改变VCO的中心频率F0,其频率范围为70~130kHz;

然后将可变直流电压模块的DC 输出端与VCO 模块的V in端相连,双踪示波器分别接于VCO的输出端与DC的输出端。

调节VCO 的GAIN 旋钮,使得可变直流电压为正负1V 时的VCO的频率偏移为正负10KHz。

单独测试锁相环中的相乘、低通滤波器是否正常工作。

按电路图进行实验,即锁相环处于开环状态。锁相环中的LPF 输出端不要接至VCO的输入端。此时图中的乘法器相当于混频器。

在实验中,将另一VCO 作为信号输入源输入于乘法器。改变信源VCO的中心频率,用示波器观看锁相环的相乘、低通滤波器的输出信号,它应是输入信号与VCO输出信号的差拍信号。 2

测量锁相环的同步带以及捕捉带

按图将载波提取的锁相环闭环连接,人使用另一VCO作为输入与锁相环的信号源,如下面的连线图所示:

篇二:北邮通原硬件实验报告

信息与通信工程学院

通信原理硬件实验报告

日级:名:号:号:期:

目录

必做部分

目录 ................................................ ................................................... .. (2)

实验一:双边带抑制载波调幅(DSB-SCAM) ...................................... (4)

一、实验目

................................................... (4)

二、实验原理 ................................................ ................................................... (4)

三、实验框图 ................................................ ................................................... (6)

四、实验步骤 ................................................ ................................................... (7)

五、实验结果与分析 ................................................ ................................................... . (8)

六、思考

................................................... (11)

七、问题及解决方法 ................................................ ................................................... . (13)

实验二:具有离散大载波的双边带调幅(AM) ............................................ .. (14)

一、实验目的 ................................................ ................................................... . (14)

二、实验原理 ................................................ ................................................... . (14)

三、实验框图 ................................................

(15)

四、实验步骤 ................................................ ................................................... . (16)

五、实验结果与分析 ................................................ ................................................... . (17)

六、思考题 ................................................ ................................................... .. (20)

七、问题及解决方法 ................................................ ................................................... . (20)

实验三:调频(FM) ............................................

(22)

一、实验目的 ................................................ ................................................... . (22)

二、实验原理 ................................................ ................................................... . (22)

三、实验框图 ................................................ ................................................... . (23)

四、实验步骤 ................................................ ................................................... . (24)

五、实验结果与分析 ................................................

(25)

六、思考题 ................................................ ................................................... .. (27)

七、问题及解决方法 ................................................ ................................................... . (28)

实验六:眼图 ................................................ ................................................... .. (29)

一、实验目的 ................................................ ................................................... . (29)

二、实验原理 ................................................

(29)

三、实验框图 ................................................ ................................................... . (29)

四、实验步骤 ................................................ ................................................... . (30)

五、实验结果与分析 ................................................ ................................................... . (30)

六、问题及解决方法 ................................................ ................................................... . (31)

实验七:采样、判决 ................................................

(32)

一、实验目的 ................................................ ................................................... . (32)

二、实验原理 ................................................ ................................................... . (32)

三、实验框图 ................................................ ................................................... . (32)

四、实验步骤 ................................................ ................................................... . (33)

五、实验结果与分析 ................................................

北邮通电实验报告

实验3 集成乘法器幅度调制电路 信息与通信工程学院 2016211112班 苏晓玥杨宇宁 2016210349 2016210350

一.实验目的 1.通过实验了解振幅调制的工作原理。 2.掌握用MC1496来实现AM和DSB的方法,并研究已调波与调制信号,载波之间的关系。3.掌握用示波器测量调幅系数的方法。 二.实验准备 1.本实验时应具备的知识点 (1)幅度调制 (2)用模拟乘法器实现幅度调制 (3)MC1496四象限模拟相乘器 2.本实验时所用到的仪器 (1)③号实验板《调幅与功率放大器电路》 (2)示波器 (3)万用表 (4)直流稳压电源 (5)高频信号源 三.实验内容 1.模拟相乘调幅器的输入失调电压调节。 2.用示波器观察正常调幅波(AM)波形,并测量其调幅系数。 3.用示波器观察平衡调幅波(抑制载波的双边带波形DSB)波形。 四.实验波形记录、说明 1.DSB信号波形观察

2.DSB信号反相点观察 3.DSB信号波形与载波波形的相位比较 结论:在调制信号正半周期间,两者同相;负半周期间,两者反相。

4.AM正常波形观测 5.过调制时的AM波形观察(1)调制度为100%

(2)调制度大于100% (3)调制度为30% A=260.0mv B=140.0mv

五.实验结论 我们通过实验了解振幅调制的工作原理是:调幅调制就是用低频调制信号去控制高频振荡(载波)的幅度,使其成为带有低频信息的调幅波。目前由于集成电路的发展,集成模拟相乘器得到广泛的应用,为此本实验采用价格较低廉的MC1496集成模拟相乘器来实现调幅之功能。 DSB信号波形与载波波形的相位关系是:在调制信号正半周期间,两者同相;负半周期间,两者反相。 通过实验了解到了调制度的计算方法 六.课程心得体会 通过本次实验,我们了解了振幅调制的工作原理并掌握了实现AM和DSB的方法,学会计算调制度,具体见实验结论。我们对集成乘法器幅度调制电路有了更好的了解,对他有了更深入的认识,提高了对通信电子电路的兴趣。 和模电实验的单独进行,通电实验增强了团队配合的能力,两个人的有效分工提高了实验的效率,减少了一个人的独自苦恼。

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

北邮小学期简易密码锁实验报告

简易智能密码锁实验报告 一、实验要求: 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上 显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密 码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码, 恢复初始密码“0000”。 闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在 最右边的数码管上,同时将先前输入的所有数字向左移动一位。

2、密码锁的密码位数(4~6 位)可调。 二、系统设计: 1、设计思路:在数字电路设计中,自顶向下设计方法的主要思想是 对数字系统进行划分,将复杂的设计原理简化为相对简单的模块设计,不同的模块用来完成数字系统中某一部分的具体功能。 总体思路:

2、总体框图: 三、仿真波形及波形分析 1、键盘输入模块 图3-1 键盘输入仿真

在上图中,clkjp时钟控制jpcat,jpcat控制kbout从0111到1110变换,然后手动控制kbin来模拟键盘的案件,从jpout就能看到的键入的数字。 2、防抖 图3-2 防抖仿真 上图中可以看见,btn1只在上升沿才有用,而clear会持续到一个周期的最后才会完毕。

北邮实验报告封面

北邮实验报告封面 篇一:北邮通原实验报告 北京邮电大学 通信原理实验报告 班级:xxxxxxxx 专业:xxxxxx 姓名: xxxx 学号:xxxxx 同组人:xxxx 目录 通信原理实验报告 ................................................ ................................................... (1) 实验一:双边带抑制载波调幅(DSB-AM) ........................................ (3) 一、实验目的: .............................................. ................................................... . (3) 二、实验系统框

................................................... .. (3) 三、实验步骤: .............................................. ................................................... . (4) 四、实验结果: .............................................. ................................................... . (6) 2.3 实验二:具有离散大载波的双边带调幅(AM) ............................................ .. (12) 一、实验目的: .............................................. ................................................... .. (12) 二、实验系统框图: ..............................................

北京邮电大学实验报告

北京邮电大学实验报告 北京邮电大学实验报告 引言: 实验是科学研究的基础,通过实验可以验证理论,探索未知。本篇文章将以北 京邮电大学实验为主题,介绍该校在科研实践中的一些成果和经验。 一、实验室建设与管理 北京邮电大学实验室建设十分重视安全和环境保护,建立了一套严格的实验室 管理制度。首先,实验室设立了专门的安全管理部门,负责实验室的安全检查 和事故处理。其次,实验室采取了严格的实验室准入制度,对进入实验室的人 员进行资质审核和培训,确保实验操作的安全性。此外,实验室还配备了各种 安全设施和应急设备,以应对可能发生的事故。 二、实验课程改革与创新 北京邮电大学注重实验课程的改革和创新,通过引入新的实验项目和教学手段,提高学生的实验能力和创新意识。例如,在通信工程实验课程中,学生不仅要 进行基础的实验操作,还需要进行实验设计和创新。这种实验模式培养了学生 的动手能力和问题解决能力,使他们在实践中更好地理解理论知识。 三、科研实践与成果 北京邮电大学的科研实践成果丰硕,涵盖了通信工程、计算机科学、电子信息 等多个领域。例如,在通信工程领域,学校的研究团队在无线通信技术方面取 得了重要突破,开展了一系列关键技术研究,为我国通信产业的发展做出了重 要贡献。此外,在计算机科学领域,学校的研究人员致力于人工智能和大数据 技术的研究,取得了一系列具有国际影响力的研究成果。

四、国际合作与交流 北京邮电大学积极开展国际合作与交流,与世界各地的高校和科研机构建立了广泛的合作关系。通过国际合作,学校的研究人员能够与国际顶尖科学家进行深入交流和合作,共同攻克科学难题。同时,学校还鼓励学生参加国际学术会议和交流项目,拓宽国际视野,提高学术水平。 五、实验教学与社会服务 北京邮电大学实验教学不仅服务于学生的学习,也服务于社会的发展。学校的实验室向社会开放,接受企业和科研机构的委托进行实验研究和测试。通过与社会各界的合作,学校能够更好地了解社会需求,培养学生的实践能力,同时也为社会提供了实验技术支持。 结语: 北京邮电大学在实验教学和科研实践方面取得了许多成绩,不断提升实验室建设、实验课程改革、科研创新、国际合作和社会服务水平。相信在未来,北京邮电大学将继续在实验领域取得更多突破,为科学研究和社会发展做出更大贡献。

北邮通原软件试验报告

北京邮电大学实验报告 题目:基于SYSTEMVIEW通信原理实验报告 班级: 专业:

姓名:

成绩: 实验1:抽样定理 一 .实验目的 (1)掌握抽样定理 (2)通过时域频域波形分析系统性能 二 .实验原理 抽样定理:设时间连续信号m (t ),其最高截止频率为fm ,如果用时间间隔为T< = 1/2fm 的采样序列对m ⑴进行抽样时,则m (t )就可被样值信号唯一地表示。 具体而言:在一个频带限制在(0,f h )内的时间连续信号f (t ),如果以小于等于1/(2 f h )的时间间隔对它进行抽样,那么根据这些抽样值就能完全恢复原信号。或者说,如果一个 连续信号f ⑴的频谱中最高频率不超过fh,这种信号必定是个周期性的信号,当抽样频 率f SN2 f h 时,抽样后的信号就包含原连续信号的全部信息,而不会有信息丢失,当需要 时,可以根据这些抽样信号的样本来还原原来的连续信号。根据这一特性,可以完成信号的 模-数转换和数-模转换过程。 三 .实验步骤 1 .将三个基带信号相加后抽样,然后通过低通滤波器恢复出原信号。实现验证抽样定理 的仿真系统,同时在必要的输出端设置观察窗。如下图所示 抽样过程原理图(时域) 金假的海空* 波器 恢复 重建过程原理图(频域)

: ----- C-.t -J r ----- [----- r hj 2.设置各模块参数三个基带信号频率从上至下依次为10hz、20hz、40hz。 抽样信号频率fs设置为80hz,即2*40z。(由抽样定理知,fsN2fH)。低通滤波器频率设 置为40hz。设置系统时钟,起始时间为0,终止时间设为1s抽样率为1khz。 3.改变抽样速率观察信号波形的变化。 四.实验结果 由此可知,如果每秒对基带模拟信号均匀抽样不少于2fH次,所得样值序列含有原 基带信号的全部信息,从该样值序列可以无失真地恢复成原来的基带信

北邮电子院专业实验报告

电子工程学院 ASIC专业实验报告 班级: 姓名: 学号: 班内序号: 第一部分语言级仿真 LAB 1:简单的组合逻辑设计 一、实验目的 掌握基本组合逻辑电路的实现方法; 二、实验原理 本实验中描述的是一个可综合的二选一开关,它的功能是当sel = 0时,给出out = a,否则给出结果out = b;在Verilog HDL中,描述组合逻辑时常使用assign结构;equal=a==b1:0是一种在组合逻辑实现分支判断时常用的格式;parameter定义的size参数决定位宽;测试模块用于检测模块设计的是否正确,它给出模块的输入信号,观察模块的内部信号和输出信号; 三、源代码 module scale_muxout,sel,b,a; parameter size=1; outputsize-1:0 out; inputsize-1:0b,a; input sel; assign out = sela: selb: {size{1'bx}}; endmodule `define width 8 `timescale 1 ns/1 ns module mux_test; reg`width:1a,b;

wire`width:1out; reg sel; scale_mux`widthm1.outout,.selsel,.bb,.aa; initial begin $monitor$stime,,"sel=%b a=%b b=%b out=%b",sel,a,b,out; $dumpvars2,mux_test; sel=0;b={`width{1'b0}};a={`width{1'b1}}; 5sel=0;b={`width{1'b1}};a={`width{1'b0}}; 5sel=1;b={`width{1'b0}};a={`width{1'b1}}; 5sel=1;b={`width{1'b1}};a={`width{1'b0}}; 5 $finish; end endmodule 四、仿真结果与波形 LAB 2:简单时序逻辑电路的设计 一、实验目的 掌握基本时序逻辑电路的实现; 二、实验原理 在Verilog HDL中,相对于组合逻辑电路,时序逻辑电路也有规定的表述方式;在可综合的Verilog HDL模型中,我们常使用always块和posedge clk或negedge clk的结构来表述时序逻辑; 在always块中,被赋值的信号都必须定义为reg型,这是由时序逻辑电路的特点所决定的对于reg 型数据,如果未对它进行赋值,仿真工具会认为它是不定态;为了正确地观察到仿真结果,在可综合的模块中我们通常定义一个复位信号rst-,当它为低电平时对电路中的寄存器进行复位; 三、源代码 `timescale 1 ns/100 ps module countercnt,clk,data,rst_,load; output4:0cnt ; input 4:0data; input clk; input rst_; input load; reg 4:0cnt; alwaysposedge clk or negedge rst_ ifrst_ cnt<=0; else ifload cnt<=3 data;

北邮电磁场与电磁波测量实验报告5-信号源-波导波长

北邮电磁场与电磁波测量实验报告5-信号源-波导波长

————————————————————————————————作者:————————————————————————————————日期:

北京邮电大学 电磁场与电磁波测量实验 实验报告 实验内容:微波测量系统的使用和信号源波长功率的测量波导波长的测量 学院:电子工程学院 班级:2010211203班 组员:崔宇鹏张俊鹏章翀 2013年5月9日

实验一微波测量系统的使用和 信号源波长功率的测量 一、实验目的 (1) 学习微波的基本知识; (2) 了解微波在波导中传播的特点,掌握微波基本测量技术; (3) 学习用微波作为观测手段来研究物理现象。 二、实验仪器 1.微波信号源 微波信号源由振荡器、可变衰减器、调制器、驱动电路、及电源电路组成。该信号源可在等幅波、窄带扫频、内方波调制方式下工作,并具有外调制功能。在教学方式下,可实时显示体效应管的工作电压和电流的关系。仪器输出功率不大,以数字形式直接显示工作频率,性能稳定可靠。 2.隔离器 位于磁场中的某些铁氧化体材料对于来自不同方向的电磁波有着不同吸收,经过适当调节,可使其对微波具有单方向传播的特性,隔离器常用于振荡器与负载之间,起隔离和单向传输的作用。 3.衰减器 把一片能吸微波能量的吸收片垂直于矩形波导的宽边,纵向插入波导管即成,用以部分衰减传输功率,沿着宽边移动吸收片可改变衰减量的大小。衰减器起调节系统中微波功率从以及去耦合的作用。 4.波长计 电磁波通过耦合孔从波导进入频率计的空腔中,当频率计的腔体失谐时,腔里的电磁场极为微弱,此时,它基本不影响波导中波的传输。当电磁波的频率计满足空腔的谐振条件时,发生谐振,反映到波导中的阻抗发生剧烈变化,相应地,通过波导中的电磁波信号强度将减弱,输出幅度将出现明显的跌落,从刻度套筒可 读出输入微波谐振时的刻度,通过查表可得知输入微波谐振频率。

实验报告word封面

实验报告:Word封面制作(逐步思考) 前言 在进行实验报告撰写之前,通常需要为报告添加封面。封面是报告的第一页, 起到引人注目、彰显专业性的作用。在本文中,我们将逐步思考并介绍如何使用Word来制作一个简洁而吸引人的实验报告封面。 步骤一:选择适当的封面模板 首先,打开Word文档并选择一个合适的封面模板。Word提供了各种现成的 模板供我们选择。我们可以在Word的模板库中查找到具有专业外观的封面模板。 步骤二:编辑封面标题 模板中的封面标题可能会包含示例文本,我们需要将其替换为我们自己的标题。点击标题文本并使用键盘输入您想要的标题,比如“实验报告”。 步骤三:调整字体和样式 默认情况下,模板中的字体和样式可能不符合我们的需求。这时,我们可以选 择合适的字体、大小和样式。单击标题文本并在Word的上方工具栏中选择适当的字体、大小和样式。 步骤四:添加作者和日期 在封面上,通常需要包含作者和日期信息。单击相应的文本框,并输入您的姓 名和日期。可以根据需要调整文本框的位置和大小。 步骤五:插入公司或学校的Logo(可选) 如果我们的实验报告是代表公司或学校,为了增加专业性,可以考虑在封面上 插入公司或学校的Logo。单击合适的位置,选择插入菜单中的“图片”选项,并选 择适当的Logo图像文件。 步骤六:编辑背景和颜色(可选) 如果我们想要为封面添加一些个人化的风格,可以考虑编辑背景和颜色。在Word的上方工具栏中选择“设计”选项卡,然后浏览不同的背景和颜色设置。

步骤七:检查和打印 在完成所有编辑和设置后,我们应该仔细检查封面,确保标题、作者、日期和Logo的位置和样式正确。如果一切就绪,我们可以选择打印选项,并打印出我们的封面。 结论 通过逐步思考和按照上述步骤,我们可以使用Word轻松地制作一个简洁而吸引人的实验报告封面。封面的质量和专业性可以为我们的报告增添不少亮点,让读者对我们的实验报告产生更多的兴趣。希望本文对您在Word封面制作方面有所帮助!

北邮操作系统进程管理实验报告及源代码

进程管理实验报告 1.实验目的: (1)加深对进程概念的理解,明确进程和程序的区别; (2)进一步认识并发执行的实质; (3)分析进程争用资源的现象,学习解决进程互斥的方法; (4)了解Linux系统中进程通信的基本原理。 2.实验预备内容 (1)阅读Linux的sched.h源码文件,加深对进程管理概念的理解; (2)阅读Linux的fork()源码文件,分析进程的创建过程。 3、环境说明 本次实验使用的是win7下的VMWare workstation虚拟机,安装了ubuntu系统在ubuntu系统下使用code::blocks IDE编写代码并执行程序的 4、实验内容: 1、进程的创建: (1)实验题目和要求: 编写一段程序,使用系统调用fork() 创建两个子进程。当此程序运行时,在系统中有一个父进程和两个子进程活动。让每一个进程在屏幕上显示一个字符:父进程显示字符“a”,子进程分别显示字符“b”和“c”。试观察记录屏幕上的显示结果,并分析原因。 (2)程序设计说明: 参照书上的例子进行设计,详见源代码 (3)程序运行结果截图: (4)程序分析:

a,b,c随机出现,因为父进程与两个子进程之间并没有同步措施,所以a,b,c 随机打印出来,也就是三个进程的活动次序是随机进行的,不同的系统可能有不同的进程调度方式。 (5)源程序: #include #include #include int main() { pid_t pid1,pid2; if((pid1=fork())<0){ printf("Fork Failed.\n"); exit(-1); } else if((pid1=fork())==0) printf("b\n"); else{ if((pid2=fork())<0){ printf("Fork Failed.\n"); exit(-1); } else if((pid2=fork())==0) printf("c\n"); else{ wait(NULL); printf("a\n"); exit(0); } } return 0; } 2、进程的控制: 要求一: (1)实验题目和要求: 修改已经编写的程序,将每个进程输出一个字符改为每个进程输出一句话,再观察程序执行时屏幕上出现的现象,并分析原因。 (2)程序设计说明: 将第一个程序的输出进行修改,把输出一个字符改为一句话,其他不变

北邮数字信号处理MATLAB实验报告

数字信号处理软件实验——MatLab仿真实验报告 学院:电子工程学院 班级:2013211202 姓名: 学号:

实验一:数字信号的 FFT 分析 1、实验内容及要求 (1) 离散信号的频谱分析: 设信号 此信号的0.3pi 和 0.302pi 两根谱线相距很近,谱线 0.45pi 的幅度很小,请选择合 适的序列长度 N 和窗函数,用 DFT 分析其频谱,要求得到清楚的三根谱线。 (2) DTMF 信号频谱分析 用计算机声卡采用一段通信系统中电话双音多频(DTMF )拨号数字 0~9的数据,采用快速傅立叶变换(FFT )分析这10个号码DTMF 拨号时的频谱。 2、实验目的 通过本次实验,应该掌握: (a) 用傅立叶变换进行信号分析时基本参数的选择。 (b) 经过离散时间傅立叶变换(DTFT )和有限长度离散傅立叶变换(DFT ) 后信号频谱上的区别,前者 DTFT 时间域是离散信号,频率域还是连续的,而 DFT 在两个域中都是离散的。 (c) 离散傅立叶变换的基本原理、特性,以及经典的快速算法(基2时间抽选法),体会快速算法的效率。 (d) 获得一个高密度频谱和高分辨率频谱的概念和方法,建立频率分辨率和时间分辨率的概念,为将来进一步进行时频分析(例如小波)的学习和研究打下基础。 (e) 建立 DFT 从整体上可看成是由窄带相邻滤波器组成的滤波器组的概念,此概念的一个典型应用是数字音频压缩中的分析滤波器,例如 DVD AC3 和MPEG Audio 。 3.设计思路及实验步骤 1)离散信号的频谱分析: 该信号中要求能够清楚的观察到三根谱线。由于频率0.3pi 和0.302pi 间隔非常小,要清楚的显示,必须采取足够大小的N ,使得分辨率足够好,至少到0.001单位级,而频率0.45pi 的幅度很小,要清楚的观察到它的谱线,必须采取幅度够大的窗函数,使得它的频谱幅度变大一些。同时还要注意频谱泄漏的问题,三个正弦函数的周期(2pi/w )分别为20,40,1000,所以为了避免产生频谱泄漏(k=w/w0为整数),采样点数N 必须为1000的整数倍。 2)DTMF 信号频谱分析 双音多频信号中4*4拨号盘中的每一个按键均对应两个频率,一个高频,一个低频,每个数字由两个不同频率的正弦波组成,高频为:1209HZ,1336HZ,1633HZ,1477HZ,低频为697HZ,770hz ,852hz,941hz 。分别用两个数组来装载高频频率和低频频率,然后再分别产生两路频率混叠的信号。再利用fft 频谱分析做出频谱图。 4.实验代码及实验结果 00010450303024().*cos(.)sin(.)cos(.) x n n n n π πππ=+--

北邮-ASIC实验报告

ASIC设计实验报告 学院:电子工程学院班级:2011211204 姓名:学号:********** 组员:班内序号:9 指导老师:*** 2014年6月

实验一:多路选择器的设计 一.实验目的: 1.掌握门级电路与行为级电路设计的区别; 2.掌握逻辑电路的设计方法; 3.熟悉测试程序的编写。 4.注意代码规范性要求。 二.实验内容: 1.设计一个有两位选择信号的四选一多路选择器,可以根据控制信号从两位或多个输入源中选择一个予以输出。 out 2.用基本逻辑门来实现多路选择器,即门级语言进行描述。参考门级电路如下。

3.用行为级语言进行描述,通过case语句重新设计仿真。 4.编写多路选择器的测试激励模块,并通过Synopsys工具进行仿真。 三.实验代码: mux1.v module mux1(s,i0,i1,i2,i3,out); input [1:0] s; input i0,i1,i2,i3; output out; wire s1,s0,s0_n,s1_n;

wire y0,y1,y2,y3; assign s1 = s[1]; assign s0 = s[0]; assign s1_n = ~s[1]; assign s0_n = ~s[0]; assign y0 = i0 & s1_n & s0_n; assign y1 = i1 & s1_n & s0; assign y2 = i2 & s1 & s0_n; assign y3 = i3 & s1 & s0; assign out = y0 | y1 | y2 | y3; endmodule mux1_test.v `timescale 1ns/1us module mux1_test(); reg [1:0] s; reg i0,i1,i2,i3; wire out; mux1(.s(s),.i0(i0),.i1(i1),.i2(i2),.i3(i3),.out(out)); initial begin i2 = 1'b0; forever #2 i2 = ~i2; end initial begin i3 = 1'b0; forever #4 i3 = ~ i3; end initial begin $dumpvars(2,mux1_test); i0 = 1'b0; i1 = 1'b1;

北邮通原实验报告

电子工程学院 通信原理硬件实验报告 指导教师: 实验日期:

目录 实验一双边带抑制载波调幅(DSB-SC AM) (4) 一、实验目的 (4) 二、实验原理 (4) 三、实验连接框图 (5) 四、实验步骤 (6) 五、实验结果与分析 (7) 六、思考题 (9) 七、问题及解决 (10) 实验二具有离散大载波的双边带调幅(AM) (11) 一、实验目的 (11) 二、实验原理 (11) 三、实验连接框图 (12) 四、实验步骤 (13) 五、实验结果与分析 (14) 六、思考题 (18) 实验四线路码的编码与解码 (19) 一、实验目的 (19) 二、实验原理 (19) 三、实验连接框图 (20) 四、实验步骤 (20) 五、实验结果及分析 (21) 实验六眼图 (28)

一、实验目的 (28) 二、实验原理 (28) 三、实验连接框图 (28) 四、实验步骤 (28) 五、实验结果及分析 (29) 六、问题及解决 (29) 实验八二进制通断键控(OOK) (30) 一、实验目的 (30) 二、实验原理 (30) 三、实验框图 (30) 四、实验步骤 (31) 五、实验结果及分析 (33) 六、思考题 (38) 实验心得 (39)

实验一双边带抑制载波调幅(DSB-SC AM) 一、实验目的 1.了解DSB-SC AM信号的产生及相干解调的原理和实现方式。 2.了解DSB-SC AM的信号波形及振幅频谱特点,并把握其测量方式。 3.了解在发送DSB-SC AM信号加导频分量的条件下,收端用锁相环提取载波的原理 及实现方式。 4.把握锁相环的同步带和捕捉带的测量方式,把握锁相环提取载波的调试方式。 二、实验原理 DSB-SC AM信号的产生及相干解调原理框图如下 将均值为0的模拟基带信号m(t)与正弦载波c(t)相乘取得DSB-SC AM信号,其频谱不包括离散的载波分量。 DSB-SC AM信号的解调只能采纳相干解调。为了能在接收端获取载波,其中一种方式是在发送端添加导频(如上图)。收端可用锁相环来提取导频信号作为恢复载波。锁相环必需是窄带锁相,仅用来跟踪导频信号。

相关文档